Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1878921.1878940acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Hardware-based data value and address trace filtering techniques

Published: 24 October 2010 Publication History

Abstract

Capturing program and data traces during program execution unobtrusively in real-time is crucial in debugging and testing of cyber-physical systems. However, tracing a complete program unobtrusively is often cost-prohibitive, requiring large on-chip trace buffers and wide trace ports. Whereas program execution traces can be efficiently compressed in hardware, compression of data address and data value traces is much more challenging due to limited redundancy. In this paper we describe two hardware-based filtering techniques for data traces: cache first-access tracking for load data values and data address filtering using partial register-file replay. The results of our experimental analysis indicate that the proposed filtering techniques can significantly reduce the size of the data traces (~5 20 times for the load data value trace, depending on the data cache size; and ~5 times for the data address trace) at the cost of rather small hardware structures in the trace module.

References

[1]
Tassey, G. (2002, May). The Economic Impacts of Inadequate Infrastructure for Software Testing. Available: http://www.rti.org/pubs/software_testing.pdf
[2]
Hopkins, A. B. T. and McDonald-Maier, K. D., "Debug Support Strategy for Systems-on-Chips with Multiple Processor Cores," IEEE Trans. Comput. 55, 2 (Feb. 2006), 174--184. DOI= http://dx.doi.org/10.1109/TC.2006.22.
[3]
IEEE-ISTO. The Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface, (2003). http://www.nexus5001.org/standard
[4]
ARM. Embedded Trace Macrocell Architecture Specification, ARM IHI 0014O (2007). http://infocenter.arm.com/help/topic/com.arm.doc.ihi0014o/IHI0014O_etm_v3_4_architecture_spec.pdf
[5]
MIPS. MIPS PDtrace Specification, MD00439 (2009). http://www.mips.com/products/product-materials/processor/mips-architecture/
[6]
Infineon. TC1775 System Units 32-Bit Single-Chip Microcontroller, User's Manual, V2.0 (2001). www.infineon.com
[7]
Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., and Brown, R. B., "MiBench: A free, commercially representative embedded benchmark suite," in IEEE 4th Annual Workshop on Workload Characterization (Austin, TX, Dec. 2001). IEEE Computer Society, 3--14. DOI= http://dx.doi.org/10.1109/WWC.2001.15
[8]
Milenkovic, A. and Milenkovic, M., "Stream-Based Trace Compression," IEEE Computer Architecture Letter 2, 1 (Jan. 2003), 9--12. DOI= http://dx.doi.org/10.1109/L-CA.2002.9.
[9]
Burtscher, M., Ganusov, I., Jackson, S. J., Ke, J., Ratanaworabhan, P., and Sam, N. B., "The VPC Trace-Compression Algorithms," IEEE Trans. Comput. 54, 11 (2005), 1329--1344. DOI= http://dx.doi.org/10.1109/TC.2005.186.
[10]
Barr, K. C. and Asanovic, K., "Branch trace compression for snapshot-based simulation," in International Symposium on Performance Analysis of Systems and Software (Austin, TX, Mar. 2006). ISPASS '06. IEEE Computer Society, 25--36. DOI=
[11]
Kao, C.-F., Huang, I.-J., and Lin, C.-H., "An Embedded Multi-resolution AMBA Trace Analyzer for Microprocessor-based SoC Integration," in Proceedings of the 44th annual Design Automation Conference (San Diego, California 2007). DAC '07. ACM, 477--482. DOI= http://doi.acm.org/10.1145/1278480.1278604
[12]
Uzelac, V. and Milenkovic, A., "A Real-Time Program Trace Compressor Utilizing Double Move-to-Front Method," in Proceedings of the 46th Annual Design Automation Conference (San Francisco, California 2009). DAC '09. ACM, 738--743. DOI= http://doi.acm.org/10.1145/1629911.1630102
[13]
Uzelac, V., Milenković, A., Milenković, M., and Burtscher, M., "Real-time, Unobtrusive, and Efficient Program Execution Tracing with Stream Caches and Last Stream Predictors," in International Conference on Computer Design (Lake Tahoe, California, USA 2009). ICCD '09. IEEE Press, 173--178.
[14]
Kao, C.-F., Huang, S.-M., and Huang, I.-J., "A Hardware Approach to Real-Time Program Trace Compression for Embedded Processors," IEEE Transactions on Circuits and Systems 54, 3 (Mar. 2007), 530--543.
[15]
Narayanasamy, S., Pokam, G., and Calder, B., "BugNet: Continuously Recording Program Execution for Deterministic Replay Debugging," SIGARCH Comput. Archit. News 33, 2 (2005), 284--295. DOI= http://doi.acm.org/10.1145/1080695.1069994.
[16]
Austin, T., Larson, E., and Ernst, D., "SimpleScalar: An Infrastructure for Computer System Modeling," IEEE Computer 35, 2 (Feb. 2002), 59--67. DOI= http://dx.doi.org/10.1109/2.982917.
[17]
Milenković, M., Milenković, A., and Burtscher, M., "Algorithms and Hardware Structures for Unobtrusive Real-Time Compression of Instruction and Data Address Traces," in Proceedings of the 2007 Data Compression Conference (Snowbird, UT, 27--29 Mar. 2007). DCC '07. IEEE Computer Society, 55--65. DOI= http://dx.doi.org/10.1109/DCC.2007.10

Cited By

View all
  • (2014)Using Branch Predictors and Variable Encoding for On-the-Fly Program TracingIEEE Transactions on Computers10.1109/TC.2012.26763:4(1008-1020)Online publication date: 1-Apr-2014
  • (2013)Hardware-Based Load Value Trace Filtering for On-the-Fly DebuggingACM Transactions on Embedded Computing Systems10.1145/2465787.246579912:2s(1-18)Online publication date: 1-May-2013

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
CASES '10: Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems
October 2010
276 pages
ISBN:9781605589039
DOI:10.1145/1878921
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • CEDA
  • IEEE CAS
  • IEEE CS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 24 October 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. compression
  2. debugging
  3. program tracing

Qualifiers

  • Research-article

Conference

ESWeek '10
ESWeek '10: Sixth Embedded Systems Week
October 24 - 29, 2010
Arizona, Scottsdale, USA

Acceptance Rates

Overall Acceptance Rate 52 of 230 submissions, 23%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2014)Using Branch Predictors and Variable Encoding for On-the-Fly Program TracingIEEE Transactions on Computers10.1109/TC.2012.26763:4(1008-1020)Online publication date: 1-Apr-2014
  • (2013)Hardware-Based Load Value Trace Filtering for On-the-Fly DebuggingACM Transactions on Embedded Computing Systems10.1145/2465787.246579912:2s(1-18)Online publication date: 1-May-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media