Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1629911.1630121acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

NoC topology synthesis for supporting shutdown of voltage islands in SoCs

Published: 26 July 2009 Publication History

Abstract

In many Systems on Chips (SoCs), the cores are clustered in to voltage islands. When cores in an island are unused, the entire island can be shutdown to reduce the leakage power consumption. However, today, the interconnect architecture is a bottleneck in allowing the shutdown of the islands. In this paper, we present a synthesis approach to obtain customized application-specific Networks on Chips (NoCs) that can support the shutdown of voltage islands. Our results on realistic SoC benchmarks show that the resulting NoC designs only have a negligible overhead in SoC active power consumption (average of 3%) and area (average of 0.5%) to support the shutdown of islands. The shutdown support provided can lead to a significant leakage and hence total power savings.

References

[1]
L. Benini and G. De Micheli, "Networks on Chips: A New SoC Paradigm", IEEE Computers, pp. 70--78, Jan. 2002.
[2]
P. Guerrier, A. Greiner, "A generic architecture for on-chip packet switched interconnections", Proc. DATE, pp. 250--256, March 2000.
[3]
G. De Micheli, L. Benini, "Networks on Chips: Technology and Tools", Morgan Kaufmann, First Edition, July, 2006.
[4]
IBM ASIC Solutions, www.ibm.com
[5]
D. Lackey et al, "Managing power and performance for System-on-Chip designs using Voltage Islands", ICCAD 2002.
[6]
F. Fallah and M. Pedram, "Standby and active leakage current control and minimization in CMOS VLSI circuits.", IEICE Trans. on Electronics, 2005.
[7]
A. Sathanur et al., "Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction", ISLPED 2008.
[8]
Q. Ma, E. F. Y. Young, "Voltage Island Driven Floorplanning", ICCAD 2007.
[9]
J. Hu et al., 'Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures', Proc. DATE, March 2003.
[10]
S. Murali, G. De Micheli, "SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs", Proc. DAC 2004.
[11]
S. Murali, G. De Micheli, "Bandwidth Constrained Mapping of Cores on to NoC Architectures", Proc. DATE 2004.
[12]
A. Pinto et al., "Efficient Synthesis of Networks on Chip", ICCD 2003, pp. 146--150, Oct 2003.
[13]
W. H. Ho, T. M. Pinkston, "A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns", HPCA, 2003.
[14]
J. Xu et al., "A design methodology for application-specific networks-on-chip", ACM TECS, 2006.
[15]
S. Murali et al., "Designing Application-Specific Networks on Chips with Floorplan Information", pp. 355--362, ICCAD 2006.
[16]
K. Ryu, V. Mooney, "Automated Bus Generation for Multiprocessor SoC Design", Proc. DATE, pp. 282--287, March 2003.
[17]
K. Lahiri et al., "Design Space Exploration for Optimizing On-Chip Communication Architectures", IEEE TCAD, pp. 952--961, June 2004.
[18]
S. Pasricha et al., "Floorplan-aware automated synthesis of bus-based communication architectures", Proc. DAC '05.
[19]
L. Leung, C. Tsui, "Energy-Aware Synthesis of Networks-on-Chip Implemented with Voltage Islands", DAC 2007.
[20]
T. Dumitras et al., "Towards on-chip fault-tolerant communication", ASPDAC 2003.
[21]
S. Murali et al., "Analysis of error recovery schemes for Networks on Chips", IEEE D&T, 2005.
[22]
U. Y. Ogras et al., 'Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip', in Proc. DAC, June 2007.
[23]
Miro-Panades, I. et al., "Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture", NoC Symposium, 2008.
[24]
Bjerregaard, T. et al. "An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip", Proc. SoC 2005
[25]
S. Stergiou et al., "x pipesLite: a Synthesis Oriented Design Library for Networks on Chips", pp. 1188--1193, Proc. DATE 2005.

Cited By

View all
  • (2022)A multi-application approach for synthesizing custom network-on-chipsThe Journal of Supercomputing10.1007/s11227-022-04444-078:13(15358-15380)Online publication date: 16-Apr-2022
  • (2021)UPR: deadlock-free dynamic network reconfiguration by exploiting channel dependency graph compatibilityThe Journal of Supercomputing10.1007/s11227-021-03791-8Online publication date: 14-Apr-2021
  • (2018)Application Specific Networks-on-Chip Synthesis: An Energy Efficient Approach2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2018.00020(52-57)Online publication date: Jul-2018
  • Show More Cited By

Index Terms

  1. NoC topology synthesis for supporting shutdown of voltage islands in SoCs

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '09: Proceedings of the 46th Annual Design Automation Conference
    July 2009
    994 pages
    ISBN:9781605584973
    DOI:10.1145/1629911
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 26 July 2009

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. NoC
    2. leakage power
    3. shutdown
    4. topology
    5. voltage islands

    Qualifiers

    • Research-article

    Conference

    DAC '09
    Sponsor:
    DAC '09: The 46th Annual Design Automation Conference 2009
    July 26 - 31, 2009
    California, San Francisco

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)4
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 24 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)A multi-application approach for synthesizing custom network-on-chipsThe Journal of Supercomputing10.1007/s11227-022-04444-078:13(15358-15380)Online publication date: 16-Apr-2022
    • (2021)UPR: deadlock-free dynamic network reconfiguration by exploiting channel dependency graph compatibilityThe Journal of Supercomputing10.1007/s11227-021-03791-8Online publication date: 14-Apr-2021
    • (2018)Application Specific Networks-on-Chip Synthesis: An Energy Efficient Approach2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2018.00020(52-57)Online publication date: Jul-2018
    • (2017)TSF3D: MSV-Driven Power Optimization for Application-Specific 3D Network-on-ChipIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.265098936:7(1089-1102)Online publication date: Jul-2017
    • (2017)Network-on-Chip DesignHandbook of Hardware/Software Codesign10.1007/978-94-017-7358-4_16-1(1-29)Online publication date: 20-Apr-2017
    • (2017)Network-on-Chip DesignHandbook of Hardware/Software Codesign10.1007/978-94-017-7267-9_16(461-489)Online publication date: 27-Sep-2017
    • (2014)Post-floorplanning power optimization for MSV-driven application specific NoC design2014 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2014.6865305(994-997)Online publication date: Jun-2014
    • (2013)Enabling power efficiency through dynamic rerouting on-chipACM Transactions on Embedded Computing Systems10.1145/2485984.248599912:4(1-23)Online publication date: 3-Jul-2013
    • (2013)A co-synthesis methodology for power delivery and data interconnection networks in 3D ICsInternational Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2013.6523593(73-79)Online publication date: Mar-2013
    • (2013)Power optimization for application-specific 3D network-on-chip with multiple supply voltages2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2013.6509622(362-367)Online publication date: Jan-2013
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media