Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/157485.164956acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

High-level transformations for minimizing syntactic variances

Published: 01 July 1993 Publication History
First page of PDF

References

[1]
A.V. Aho, R. Sethi and J.D. Ullman, Compilers: Principles, Techniques and Tools, Reading, MA: Addison-Wesley, 1986.
[2]
R. Carnposarto, "Path-Based Scheduling for Synthesis," IEEE Trans. CAD, Vol.10, no.l, pp.85-93, Jan. 1991.
[3]
R. Camposano and W. Rosenstiel, "Synthesizing Circuits from Behavioral Specifications," IEEE Trans. :CAD, vol.8, no.2, pp.171-180, Feb. 1989.
[4]
V. Chaiyakul and D.D. Gajski, "Assignment Decision Diagram and its Uses in High-level Synthesis," Technical Report #9~-103, University of California Irvine, October 1992.
[5]
D.D. Gajski, N. Dutt, A. Wu and S. Lin, High-Level Synthesis, Introduction to Chip and System Design, Kluwer Academic Publishers, 1992.
[6]
T. Kim, J.W.S. Liu, and C.L. Liu, "A Scheduling Algorithm For Conditional Resource Sharing," Proc. ICCAD '9 I, pp.84-87, 1991.
[7]
M.C. McFarlaxtd, "The Value Trace: A Data Base for Automated Digital Design," PhD. Dissertation, Department of Electrical Engineering, Carnegie-Mellon University, 1978.
[8]
P.G. Paulin and J.P. Knight, "Force-Directed Scheduling for the Behavioral Synthesis of ASIC's," IEEE Trans. CAD, vol.8, no.6, pp.661-679, Jun. 1989.
[9]
C.-J. Tseng, R.W. Wei, S.C. Rothweiler, M. Tong and A.K. Bose, "Bridge- A Versatile Behavioral Synthesis System," Proe. 25th DAC., pp.415-420, 1988.
[10]
R.A. Walker and R. Camposano, A Survey o} High-Level Synthesis Systems, Kluwer Academic Publishers, 1991.
[11]
R.A. Walker and D.E. Thomas, "Behavioral Transformations for Algorithmic Level IC Design," IEEE Trans. CAD, vol.8, no.10, pp.1115-1128, Oct. 1989.
[12]
K. Wakabayashi and T. Yoshlmura, "A Resource Sharing Control Synthesis Method for Conditional Branches," Proc. ICCAD'89, pp. 62-65, 1989.

Cited By

View all
  • (2021)Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474200(52-55)Online publication date: 1-Feb-2021
  • (2021)Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the CloudBehavioral Synthesis for Hardware Security10.1007/978-3-030-78841-4_5(71-93)Online publication date: 28-May-2021
  • (2017)Automatic Assertion Generation for Simulation, Formal Verification and Emulation2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2017.88(471-476)Online publication date: Jul-2017
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '93: Proceedings of the 30th international Design Automation Conference
July 1993
768 pages
ISBN:0897915771
DOI:10.1145/157485
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 July 1993

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

DAC93
Sponsor:
DAC93: The 30th ACM/IEEE Design Automation Conference
June 14 - 18, 1993
Texas, Dallas, USA

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)23
  • Downloads (Last 6 weeks)2
Reflects downloads up to 24 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474200(52-55)Online publication date: 1-Feb-2021
  • (2021)Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the CloudBehavioral Synthesis for Hardware Security10.1007/978-3-030-78841-4_5(71-93)Online publication date: 28-May-2021
  • (2017)Automatic Assertion Generation for Simulation, Formal Verification and Emulation2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2017.88(471-476)Online publication date: Jul-2017
  • (2016)ChADDProceedings of the 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID)10.1109/VLSID.2016.44(499-504)Online publication date: 4-Jan-2016
  • (2014)Automatic generation of test instructions for path delay faults based-on stuck-at fault in processor cores using assignment decision diagram2014 5th International Conference on Intelligent and Advanced Systems (ICIAS)10.1109/ICIAS.2014.6869530(1-5)Online publication date: Jun-2014
  • (2013)Automatic generation of test instructions for structural faults in processor cores using satisfiability2013 International SoC Design Conference (ISOCC)10.1109/ISOCC.2013.6864058(388-391)Online publication date: Nov-2013
  • (2013)Automated design error debug using high-level decision diagrams and mutation operatorsMicroprocessors and Microsystems10.1016/j.micpro.2012.11.00437:4-5(505-513)Online publication date: Jun-2013
  • (2012)Identifying Untestable Faults in Sequential Circuits Using Test Path ConstraintsJournal of Electronic Testing: Theory and Applications10.1007/s10836-012-5312-528:4(511-521)Online publication date: 1-Aug-2012
  • (2011)High-Level Decision Diagram Simulation for Diagnosis and Soft-Error AnalysisDesign and Test Technology for Dependable Systems-on-Chip10.4018/978-1-60960-212-3.ch013(294-309)Online publication date: 2011
  • (2011)F-Scan: A DFT Method for Functional Scan at RTLIEICE Transactions on Information and Systems10.1587/transinf.E94.D.104E94-D:1(104-113)Online publication date: 2011
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media