Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Energy efficient DVS schedule for fixed-priority real-time systems

Published: 01 September 2007 Publication History

Abstract

Energy consumption has become an increasingly important consideration in designing many real-time embedded systems. Variable voltage processors, if used properly, can dramatically reduce such system energy consumption. In this paper, we present a technique to determine voltage settings for a variable voltage processor that utilizes a fixed-priority assignment to schedule jobs. By exploiting more efficiently the processor slack time, our approach can be more effective in reducing the execution speed for real-time tasks when necessary. Our approach also produces the minimum constant voltage needed to feasibly schedule the entire job set. With both randomly generated and practical examples, our heuristic approach can achieve the dynamic energy reduction very close to the theoretically optimal one (within 2%) with much less computation cost.

References

[1]
Aydin, H., Melhem, R., Mosse, D., and Alvarez, P. 2001a. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. ECRTS, 225--232.
[2]
Aydin, H., Melhem, R., Mosse, D., and Alvarez, P. 2001b. Dynamic and aggressive scheduling techniques for power aware real-time systems. RTSS, 95--105.
[3]
Burd, T. 2001. Energy-Efficient Processor System Design. Ph.D. Thesis, Department of Electrical Engineering and Computer Sciences, University of California, Berkeley.
[4]
Burd, T. D. and Brodersen, R. W. 2000. Design issues for dynamic voltage scaling. ISLPED, 9--14.
[5]
Burns, A., Tindell, K., and Wellings, A. 1995. Effective analysis for engineering real-time fixed priority schedulers. IEEE Transactions on Software Engineering 21, 920--934.
[6]
Duarte, D., Vijaykrishnan, N., Irvin, M., Kim, H., and McFarland, G. 2002. Impact of scaling on the effectiveness of dynamic power reduction schemes. ICCD. 382--387.
[7]
Govil, K., Chan, E., and Wasserman, H. 1995. Comparing algorithms for dynamic speed-setting of a low-power cpu. International Conference on Mobile Computing and Networking. 13--25.
[8]
Gutnik, V. and Chandrakasan, A. 1996. An efficient controller for variable supply-voltage low power processing. Symposium on VLSI Circuits. 158--159.
[9]
Hong, I., Kirovski, D., Qu, G., Potkonjak, M., and Srivastava, M. B. 1998. Power optimization of variable voltage core-based systems. Proceedings of DAC. 176--181.
[10]
Hwang, C. and Wu, A. 1997. A predictive system shutdown method for energy saving of event-driven computation. Proceedings of International Conference on Compter Aided Design. 28--32.
[11]
Intel. Strongarm processors. http://developer.intel.com/design/strong/sa1100.htm.
[12]
Irani, S., Shukla, S., and Gupta, R. 2003. Algorithms for power savings. SODA. 37--46.
[13]
Ishihara, T. and Yasuura, H. 1998. Voltage scheduling problem for dynamically variable voltage processors. ISLPED. 197--202.
[14]
ITRS. http://public.itrs.net/. International Technology Roadmap for Semiconductors. International SEMATECH, Austin, TX.
[15]
Jejurikar, R. and Gupta, R. 2002. Energy aware edf scheduling with task synchronization for embedded real time operating systems. COLP. 71--76.
[16]
Jejurikar, R., Pereira, C., and Gupta, R. 2004. Leakage aware dynamic voltage scaling for real-time embedded systems. DAC. 275--280.
[17]
Kim, N., Ryu, M., Hong, S., Saksena, M., Choi, C., and Shin, H. 1996. Visual assessment of a real-time system design: a case study on a cnc controller. RTSS. 300--310.
[18]
Kim, W., Kim, J., and Min, S. L. 2002. A dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack analysis. DATE. 788--794.
[19]
Kim, W., Kim, J., and Min, S. L. 2003. Dynamic voltage scaling algorithm for dynamic priority hard real-time systems using work-demand analysis. ISLPED. 396--401.
[20]
Kwon, W. and Kim, T. 2005. Optimal voltage allocation techniques for dynamicaly variable voltage processors. ACM Transactions on Embedded Computing Systems 4, 1, 211--230.
[21]
Lehoczky, J., Sha, L., and Ding, Y. 1989. The rate monotonic scheduling algorithm: Exact characterization and average case behavior. RTSS. 166--171.
[22]
Liu, C. L. and Layland, J. W. 1973. Scheduling algorithms for multiprogramming in a hard real-time environment. Journal of the ACM 17, 2, 46--61.
[23]
Liu, J. 2000. Real-Time Systems. Prentice Hall, Englewood Cliff, NJ.
[24]
Lorch, J. R. and Smith, A. J. 2001. Improving dynamic voltage scaling algorithms with PACE. In SIGMETRICS/Performance. 50--61.
[25]
Makzak, A. and Chakrabarti, C. 2003. Variable voltage task scheduling algorithms for minimizing energy/power. IEEE Transactions on VLSI 11, 2 (Apr.), 270--276.
[26]
Mochocki, B., Hu, X., and Quan, G. 2007. Transition overhead aware voltage scheduling for fixed-priority real-time system. ACM Trans. Des. Automat. Electron. Syst. (to appear).
[27]
Mochocki, B., Hu, X., and Quan, G. 2002. A realistic variable voltage scheduling model for real-time applications. ICCAD. 726--731.
[28]
Mochocki, B., Hu, X., and Quan, G. 2004. A unified approach to variable voltage scheduling for nonideal dvs processors. IEEE Trans. on Computer-Aided Design for Integrated Circuits and Systems 23, 9, 1370--1377.
[29]
Mochocki, B., Hu, X., and Quan, G. 2005. Practical on-line dvs scheduling for a fixed-priority real-time system. RTAS. 224--233.
[30]
Namgoong, W., Yu, M., and Meng, T. 1997. A high-efficiency variable-voltage cmos dynamic dc-dc switching regulator. IEEE Internation Solid-State Circuits Conference. 380--381.
[31]
Nielsen, L., Niessen, C., Sparso, J., and Berkel, K. 1994. Low-power operation using self-timing circuits and adaptive scaling of supply voltage. IEEE Transactions on VLSI and Systems 2, 425--435.
[32]
Niu, L. and Quan, G. 2004. Reducing both the dynamic and leakage energy consumption for hard real-time systems. CASES. 140--148.
[33]
Pering, T., Burd, T., and Brodersen, R. 1998. The simulation and evaluation of dynamic voltage scaling algorithms. ISLPED. 76--81.
[34]
Pering, T., Burd, T., and Burd, R. B. 2000. Voltage scheduling in the Iparm microprocessor system. ISLPED. 96--101.
[35]
Pillai, P. and Shin, K. G. 2001. Real-time dynamic voltage scaling for low-power embedded operating systems. In SOSP. 89--102.
[36]
Pouwelse, J., Langendoen, K., and Sips, H. 2001. Dynamic voltage scaling on a low power microprocessor. SIGMOBILE. 251--259.
[37]
Quan, G. and Hu, X. S. 2001. Energy efficient fixed-priority scheduling for real-time systems on voltage variable processors. DAC. 828--833.
[38]
Quan, G. and Hu, X. 2003. Minimum energy fixed-priority scheduling for variable voltage processors. IEEE Transactions on ICCAD 22, 8 (Aug.), 1062--1971.
[39]
Quan, G., Niu, L., Hu, X., and Mochocki, B. 2004. Fixed priority scheduling for reducing overall energy on variable voltage processors. RTSS. 309--318.
[40]
Quan, G., Niu, L., Mochocki, B., and Hu, X. 2007. Fixed-priority scheduling for reducing both the dynamic and leackage energy on variable voltage processors. International Journal of Embedded Systems on Low Power Embedded Computing. (to appear).
[41]
Rabaey, J. and Pedram, M. 1996. Low Power Design Methodologies. Kluwer Academic Publ., Novell, MA.
[42]
Shin, D., Kim, J., and Lee, S. 2001. Intra-task voltage scheduling for low-energy hard real-time applications. IEEE Design and Test of Computers 18, 2 (Mar.--Apr.), 20--30.
[43]
Shin, Y. and Choi, K. 1999. Power conscious fixed priority scheduling for hard real-time systems. DAC. 134--139.
[44]
Shin, Y., Choi, K., and Sakurai, T. 2000. Power optimization of real-time embedded systems on variable speed processors. ICCAD. 365--368.
[45]
Sinha, A. and Chandrakasan, A. P. 2001. Jouletrack- a web based tool for software energy profiling. DAC. 220--225.
[46]
Transmeta-Corporation. January, 2000. TM5400 processor specifications. http://www.transmeta. com/crusoe/download/pdf/TMS5400_ProductBrief_5-23-00.pdf.
[47]
Weiser, M., Welch, B., Demers, A., and Shenker, S. 1994. Scheduling for reduced cpu energy. Proceedings of USENIX Symposium on Operating System Design and Implementation, 13--23.
[48]
Yan, L., Luo, J., and Jha, N. 2003. Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. ICCAD. 30--37.
[49]
Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced cpu energy. IEEE Annual Foundations of Comp. Sci. 374--382.
[50]
Yun, H.-S. and Kim, J. 2003. On energy optimal voltage scheduling for fixed-prioirty hard real-time systems. ACM Transactions on Embedded Computing Systems 2, 3, 393--430.

Cited By

View all
  • (2022)An energy-aware scheduling of dynamic workflows using big data similarity statistical analysis in cloud computingThe Journal of Supercomputing10.1007/s11227-021-04016-878:3(4261-4289)Online publication date: 1-Feb-2022
  • (2020)Energy-cognizant scheduling for preference-oriented fixed-priority real-time tasksJournal of Systems Architecture10.1016/j.sysarc.2020.101743(101743)Online publication date: Feb-2020
  • (2018)Experimental study of energy and time constrained task scheduling with irregular speed and power levelsSustainable Computing: Informatics and Systems10.1016/j.suscom.2018.07.00619(61-71)Online publication date: Sep-2018
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 6, Issue 4
Special Section LCTES'05
September 2007
352 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/1274858
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 01 September 2007
Published in TECS Volume 6, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Dynamic voltage scaling
  2. fixed-priority scheduling
  3. low power
  4. real time

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)6
  • Downloads (Last 6 weeks)2
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2022)An energy-aware scheduling of dynamic workflows using big data similarity statistical analysis in cloud computingThe Journal of Supercomputing10.1007/s11227-021-04016-878:3(4261-4289)Online publication date: 1-Feb-2022
  • (2020)Energy-cognizant scheduling for preference-oriented fixed-priority real-time tasksJournal of Systems Architecture10.1016/j.sysarc.2020.101743(101743)Online publication date: Feb-2020
  • (2018)Experimental study of energy and time constrained task scheduling with irregular speed and power levelsSustainable Computing: Informatics and Systems10.1016/j.suscom.2018.07.00619(61-71)Online publication date: Sep-2018
  • (2018)Scheduling parallel tasks with energy and time constraints on multiple manycore processors in a cloud computing environmentFuture Generation Computer Systems10.1016/j.future.2017.01.01082(591-605)Online publication date: May-2018
  • (2017)Energy-Efficient Scheduling for Embedded Real-Time Systems Using Threshold Work-Demand AnalysisJournal of Circuits, Systems and Computers10.1142/S021812661750091826:06(1750091)Online publication date: Jun-2017
  • (2016)User-Centric Scheduling and Governing on Mobile Devices with big.LITTLE ProcessorsACM Transactions on Embedded Computing Systems10.1145/282994615:1(1-22)Online publication date: 28-Jan-2016
  • (2016)On the Definition of Real-Time: Applications and Systems2016 IEEE Trustcom/BigDataSE/ISPA10.1109/TrustCom.2016.0341(2213-2220)Online publication date: Aug-2016
  • (2016)Reliability-conscious energy management for fixed-priority real-time embedded systems with weakly hard QoS-constraintMicroprocessors & Microsystems10.1016/j.micpro.2016.03.00546:PB(107-121)Online publication date: 1-Oct-2016
  • (2016)Energy and time constrained task scheduling on multiprocessor computers with discrete speed levelsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2016.02.00695:C(15-28)Online publication date: 1-Sep-2016
  • (2016)Power and performance management for parallel computations in clouds and data centersJournal of Computer and System Sciences10.1016/j.jcss.2015.07.00182:2(174-190)Online publication date: 1-Mar-2016
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media