Nothing Special   »   [go: up one dir, main page]

Skip to main content

Performance (Timing) Analysis

  • Chapter
  • First Online:
FPGA EDA
  • 575 Accesses

Abstract

Timing analysis can be static or dynamic. Dynamic timing analysis (DTA) verifies functionality of the design by applying input vectors and checking for correct output vectors whereas static timing analysis (STA) checks static delay requirements of the circuit without any input or output vectors. In this chapter, STA techniques is focused since it is widely used in FPGA design flow to make sure the timing requirements are met.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. IEEE standard for standard delay format (SDF) for the electronic design process, in IEEE Std. 1497-2001 (2001), pp. 1–80

    Google Scholar 

  2. J.L.M. Lee, A scalable method to measure similarity between two EDA-generated timing graphs, in 2015 International Conference on Computer, Communications, and Control Technology (I4CT) (2015), pp. 44–48

    Google Scholar 

  3. Intel, Guaranteeing silicon performance with FPGA timing models. https://cdrdv2-public.intel.com/650314/wp-01139-timing-model.pdf

  4. T.-W. Huang, M.D.F. Wong, UI-timer 1.0: an ultrafast path-based timing analysis algorithm for CPPR. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(11), pp. 1862–1875 (2016)

    Google Scholar 

  5. D. Mishagli, E. Koskin, E. Blokhina, Path-based statistical static timing analysis for large integrated circuits in a weak correlation approximation, in 2019 IEEE International Symposium on Circuits and Systems (ISCAS) (2019), pp. 1–5

    Google Scholar 

  6. L.-W. Chen, Y.-N. Sui, T.-C. Lee, Y.-L. Li, M.C.-T. Chao, I.-C. Tsai, T.-W. Kung, E.-C. Liu, Y.-C. Chang, Path-based pre-routing timing prediction for modern very large-scale integration designs, in 2022 23rd International Symposium on Quality Electronic Design (ISQED) (2022), pp. 1–6

    Google Scholar 

  7. J. Lu, N. Xu, J. Yu, T. Weng, Research of timing graph traversal algorithm in static timing analysis based on FPGA, in 2017 IEEE 3rd Information Technology and Mechatronics Engineering Conference (ITOEC) (2017), pp. 334–338

    Google Scholar 

  8. L. Zhang, Y. Hu, C.-P. Chen, Block based statistical timing analysis with extended canonical timing model, in Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005., vol. 1 (2005), pp. 250–253

    Google Scholar 

  9. R. Chen, H. Zhou, New block-based statistical timing analysis approaches without moment matching, in Proceedings of the ASP-DAC 2007—Asia and South Pacific Design Automation Conference 2007, Series. Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC (2007), pp. 462–467

    Google Scholar 

  10. G. Luo, B. Jin, W. Zhang, A fast and simple block-based approach for common path pessimism removal in static timing analysis, in 2015 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics) (2015), pp. 234–235

    Google Scholar 

  11. L. Jin, W. Fu, Y. Zheng, H. Yan, A precise block-based statistical timing analysis with max approximation using multivariate adaptive regression splines, in 2019 IEEE 13th International Conference on ASIC (ASICON) (2019), pp. 1–4

    Google Scholar 

  12. T.-W. Huang, M.D.F. Wong, OpenTimer: a high-performance timing analysis tool, in 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2015), pp. 895–902

    Google Scholar 

  13. J. Bhasker, R. Chadha, Static Timing Analysis for Nanometer Designs: A Practical Approach, 1st edn. (Springer, 2009)

    Google Scholar 

  14. Y.-M. Yang, Y.-W. Chang, I.H.-R. Jiang, iTimerC: common path pessimism removal using effective reduction methods, in 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2014), pp. 600–605

    Google Scholar 

  15. T.-W. Huang, M.D.F. Wong, D. Sinha, K. Kalafala, N. Venkateswaran, A distributed timing analysis framework for large designs, in Proceedings of the 53rd Annual Design Automation Conference, Series DAC ’16 (Association for Computing Machinery, New York, NY, USA, 2016). Available https://doi.org/10.1145/2897937.2897959

  16. K. E. Murray, V. Betz, Tatum: parallel timing analysis for faster design cycles and improved optimization, in 2018 International Conference on Field-Programmable Technology (FPT) (2018), pp. 110–117

    Google Scholar 

  17. T.-W. Huang, G. Guo, C.-X. Lin, M.D.F. Wong, OpenTimer v2: a new parallel incremental timing analysis engine. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 40(4):776–789 (2021)

    Google Scholar 

  18. Z. Guo, T.-W. Huang, Y. Lin, GPU-accelerated static timing analysis, in 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD) (2020), pp. 1–9

    Google Scholar 

  19. S. Bian, M. Shintani, M. Hiromoto, T. Sato, LSTA: learning-based static timing analysis for high-dimensional correlated on-chip variations, in Proceedings of the 54th Annual Design Automation Conference 2017, Series DAC ’17 (Association for Computing Machinery, New York, NY, USA, 2017). Available https://doi.org/10.1145/3061639.3062280

  20. A.B. Kahng, U. Mallappa, L. Saul, Using machine learning to predict path-based slack from graph-based timing analysis, in 2018 IEEE 36th International Conference on Computer Design (ICCD) (2018), pp. 603–612

    Google Scholar 

  21. M.A. Savari, H. Jahanirad, NN-SSTA: a deep neural network approach for statistical static timing analysis, Expert Syst. Appl. 149, 113309 (2020). Available https://www.sciencedirect.com/science/article/pii/S0957417420301342

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kaihui Tu .

Rights and permissions

Reprints and permissions

Copyright information

© 2024 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tu, K., Tang, X., Yu, C., Josipović, L., Chu, Z. (2024). Performance (Timing) Analysis. In: FPGA EDA. Springer, Singapore. https://doi.org/10.1007/978-981-99-7755-0_5

Download citation

  • DOI: https://doi.org/10.1007/978-981-99-7755-0_5

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-99-7754-3

  • Online ISBN: 978-981-99-7755-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics