Nothing Special   »   [go: up one dir, main page]

WO2021067239A1 - Metrology module with parallel acquisition of broadband polarized spectra - Google Patents

Metrology module with parallel acquisition of broadband polarized spectra Download PDF

Info

Publication number
WO2021067239A1
WO2021067239A1 PCT/US2020/053220 US2020053220W WO2021067239A1 WO 2021067239 A1 WO2021067239 A1 WO 2021067239A1 US 2020053220 W US2020053220 W US 2020053220W WO 2021067239 A1 WO2021067239 A1 WO 2021067239A1
Authority
WO
WIPO (PCT)
Prior art keywords
light
optical
semiconductor device
polarization
optical head
Prior art date
Application number
PCT/US2020/053220
Other languages
French (fr)
Inventor
Jiangtao Hu
Keith Wells
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Publication of WO2021067239A1 publication Critical patent/WO2021067239A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/28Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for polarising
    • G02B27/283Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for polarising used for beam splitting or combining
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Definitions

  • Electronic devices are fabricated on substrates such as semiconductor wafers using a variety of processing techniques such as deposition, masking, etching, cleaning and/or other treatments.
  • deposition techniques include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), etc.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • removal or etching techniques include stripping, wet etching, dry etching, chemical mechanical polishing (CMP), etc.
  • an optical metrology apparatus for obtaining information about a semiconductor device, where the apparatus includes at least one light source configured to illuminate at least first and second positions on the semiconductor device with light, a first optical head configured to receive first reflections of the light off of at least the first position on the semiconductor device, a second optical head configured to receive second reflections of the light off of at least the second position on the semiconductor device, and at least one optical detector configured to simultaneously receive the first reflections from the first optical head and the second reflections from the second optical head.
  • a method of obtaining spectroscopic measurements of a semiconductor device includes, illuminating, with at least one light source, a first portion of a semiconductor device with broadband un-polarized light, receiving, with at least one optical element, reflections of the light off of the first portion of the semiconductor device and separating the reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization, and deceiving, with spectroscopy equipment, the first light and the second light and measuring spectroscopic information of the first light while simultaneously measuring spectroscopic information of the second light.
  • FIG. 1A illustrates a schematic of an example wafer fabrication system according to some implementations.
  • FIG. 1B illustrates a cross-sectional schematic of an example optical metrology module according to some implementations.
  • FIG. 2A is a schematic of example components of an optical metrology module having a rotatable polarizer according to some implementations.
  • FIG. 2B is a schematic of example components of an optical metrology module having a beam displacer according to some implementations.
  • FIGS. 2C and 2D are schematics of examples of components of optical metrology modules having a polarized beam splitter according to some implementations.
  • FIG. 3A illustrates ranges of movement of a subject wafer and of optical heads in an optical metrology module according to some implementations.
  • FIG. 3B illustrates ranges of movement of optical heads in an optical metrology module according to some implementations.
  • FIG. 3C illustrates ranges of movement of optical heads relative to a rail in an optical metrology module according to some implementations.
  • FIG. 4A illustrates an example wafer fabrication system according to some implementations.
  • FIGS. 4B, 4C, and 4D illustrate various example configurations of an optical metrology modulate in a wafer fabrication system according to some implementations.
  • semiconductor wafer semiconductor wafer
  • wafer wafer
  • substrate wafer substrate
  • wafer substrate typically has a diameter of 200 mm, 300 mm, or 450 mm, but may also be non-circular and of other dimensions.
  • other work pieces that may take advantage of this invention include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices and the like.
  • Metrology modules and systems can be used to extra information about within-wafer (WiW) non-uniformity or variability which can then be used for feedforward within wafer control (e.g., adjusting subsequent processing operations applied to a given wafer to compensate for identified non-uniformities) and other feedback purposes.
  • Within wafer (WiW) non-uniformity or variability may refer to variations within a single wafer, such as the uniformity (or lack thereof) of thicknesses at different positions within a single wafer.
  • Metrology modules and systems, such as those described herein can also be used to measure and provide feedback related to wafer-to-wafer (WtW) non-uniformity or variability.
  • WiW metrology systems e.g., systems measuring variability within individual wafers
  • obtaining a large number of samples for each wafer can be excessively time consuming.
  • EFEM equipment front end module
  • FOUP front opening unified pod
  • the present implementations contemplate and facilitate the collection of 30, 40, 50, 60, 70, 80, 90, 100, or more optical metrology samples or measurements per wafer, where those samples or measurements are taken from a corresponding number of locations within each wafer.
  • Systems and methods according to the present disclosure relate to a metrology system that can simultaneously capture two polarization spectra of a wafer and/or can simultaneously capture spectra from multiple locations on a wafer.
  • the metrology system may also have a relatively compact form factor, which may facilitate integration with other semiconductor fabrication equipment such as an equipment front end module (EFEM) or a front opening unified pod (FOUP). Integration of the metrology system with other semiconductor fabrication equipment can increase the metrology system's throughput significantly, as discussed in further detail below.
  • EFEM equipment front end module
  • FOUP front opening unified pod
  • FIG. 1 illustrates an example wafer fabrication system 100 for performing the embodiments of the present disclosure.
  • System 100 includes controller 102, analysis module 104, database(s) 106, substrate transport and handling components 108, optical metrology system 110, and process tool(s) 112.
  • Controller 102 may be in communication, electrical or otherwise, with the various components of system 100. Controller 102 may receive metrology scans from optical metrology system 110; store the metrology scans and/or results of analyzing said scans in database(s) 106; retrieve metrology scans and/or results of analyzing said scans from database(s) 106, provide control instructions to optical metrology system 110 (e.g., instructions that cause metrology system 110 to measure particular portions of a wafer), provide control instructions to substrate transport and handling system 108 (e.g., instructions that cause substrate transport and handling system 108 to move one or more wafers between optical metrology system 110, process tools 112, and other components (such as an EFEM or FOUP component of substrate transport and handling system 108), and provide control instructions to process tool(s) 112 (e.g., instructions that cause process tool(s) to perform particular semiconductor fabrication processes on one or more wafers loaded into the tool(s)).
  • process tool(s) 112 e.g., instructions that cause process tool(s)
  • controller 102 may include a analysis module 104.
  • the analysis module 104 may obtain metrology scans (from system 110 or database(s) 106) and may analyze those scans.
  • the controller 102 may analyze signals obtained by a detector in the optical metrology system 110 to measure within-wafer (WiW) variability.
  • Database(s) 106 may store any desired information such as, but not limited to, signals obtained by a detector in the optical metrology system 110, analysis results from analysis module 104 or another component in system 100, software for controlling the various components in system 100, etc.
  • Substrate transport and handling system 108 may include various components, mechanism, sub-systems, and other devices configured to transport, handle, and store wafers during fabrication.
  • substrate transport and handling system 108 may include industry standard components such as equipment front end module (EFEM) or a front opening unified pod (FOUP).
  • EFEM equipment front end module
  • FOUP front opening unified pod
  • An EFEM may be used to move wafers between a FOUP and one or more process tool(s), within a contained environment.
  • Process tool(s) 112 can include any tools used in semiconductor fabrication including, but not limited to, deposition tools, dry and wet etching tools, cleaning tools, planarization tools such as chemical mechanical polishing (CMP) tools, etc.
  • optical metrology system 110 may be configured to simultaneously capture two polarization spectra of a wafer 130, which may be loaded into and out of optical metrology system 110 by substrate transport and handling system 108.
  • optical metrology system 110 may include components such as a light source 120, a beam splitter 124, a focus lens 126, a beam displacer 134, and a sensor 136.
  • Optical metrology system 110 may also be referred to herein as an optical metrology module.
  • Light source 120 may be a broadband light source providing illumination for the optical metrology system 110.
  • the light source 120 may generate and emit a spectrum of light that extends from ultraviolet (UV) to near infrared (near-IR) wavelengths.
  • UV ultraviolet
  • near-IR near infrared
  • the light source 120 may generate and emit a spectrum of light that extends from approximately 150 nanometers (nm), 175 nm, 190 nm, 200 nm, 225 nm, or 250 nm to approximately 800 nm, 1,000 nm, or 1,300 nm.
  • the spectrum of light from light source 120 may have any desired shape.
  • Light 122 from the light source 120 may be conveyed by one or more optical elements (not shown) to beam splitter 124, which may redirect at least a portion of the light 122 towards focus lens 126 (or one or more unshown optical elements between beam splitter 124 and lens 126).
  • Focus lens 126 may, as an example, have an adjustable focus such that light 122 from light source 120 can be properly focused onto wafer 130 and light 132 reflected off of wafer 130 can be properly captured.
  • the focus lens 126 may have a piezo- electrically driven focus mechanism.
  • the adjustable focus of focus lens 126 may help compensate for variations within a single wafer 130, variations between different wafers 130 including variations in how individual wafers 130 are loaded into the optical metrology system 110.
  • focus lens 126 is moveable towards and away from wafers 130 (in a z-axis) in order to achieve focusing.
  • Beam displacer 134 may be configured to separate reflected light 132 by polarization.
  • beam displacer 134 may receive the broadband unpolarized reflected light 132 and may separate that unpolarized light into transverse-electric or s- polarized light 138 and transverse-magnetic or p-polarized light 140. The s-polarized light 138 and the p-polarized light 140 may then be provided to different portions of a sensor 136.
  • Sensor 136 may include, as an example, a spectrometer with dual channel inputs.
  • sensor 136 may include one or more slit devices (configured to generate line spread functions from broadband light) and may include an optical detector sensitive to the broadband wavelengths emitted by light source 120.
  • the slit devices may be configured to generate line spread functions that extend across the optical detector, such that the optical detector can measure the spectrum of light reflected off of a subject wafer.
  • the optical detector may be a two-dimensional detector with different channels on different regions of the detector.
  • the optical detector may include two one-dimensional detectors, each receiving a different channel of light (e.g., a respective one of beams 138 and 140).
  • sensor 136 may be a detector other than a spectrometer and/or light source 120 may be a non-broadband light source.
  • light source 120 may be a narrow band light source producing light in one or more narrow frequency bands.
  • light source 120 may be a monochromatic light source.
  • the detectors such as sensor 136, described herein may be formed using any suitable detector technologies.
  • the detectors described herein may include broadband detection capabilities that match the frequency range of light emitted by light source 120.
  • the optical sensors and detectors described herein may be formed from one- dimensional lines or two-dimensional arrays of devices such as complementary metal-oxide- semiconductor (CMOS) sensors or charge-coupled device (CCD) sensors.
  • CMOS complementary metal-oxide- semiconductor
  • CCD charge-coupled device
  • singlechannel optical metrology system 200 may receive reflected light 201 (e.g., broadband unpolarized light reflected off of a wafer).
  • the light 201 may pass through a rotatable polarizer 202, which splits the light into light 204 of a first polarization and light 206 of a second polarization (that is orthogonal to the first polarization).
  • Light 204 is then passed through slit device 205 and received by spectrometer 208 (e.g., a one-dimensional optical detector).
  • spectrometer 208 e.g., a one-dimensional optical detector
  • Light 206 is discarded by routing it to dumping device 207.
  • the polarizer 202 is rotated or otherwise adjusted such that light 204 is routed to dump device 207 and light 206 is routed to slit device 205.
  • dual-channel optical metrology system 210 may receive reflected light 211 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. 1B).
  • the light 211 may pass through beam displacer 212, which splits the light into light 214 of a first polarization and light 216 of a second polarization (that is orthogonal to the first polarization).
  • beam displacer 212 which splits the light into light 214 of a first polarization and light 216 of a second polarization (that is orthogonal to the first polarization).
  • light 214 may be transverse-electric or s-polarized light and light 216 may be transverse-magnetic or p-polarized light.
  • light 214 may exit the beam displacer 212 substantially parallel to light 216, at least in some implementations.
  • Light 214 and 216 may then pass through different portions of slit device 218 (or separate slit devices) and impinge on and be received by different portions of detector 219.
  • Detector 219 may, as an example, be a two-dimensional detector array having a first region that receives light 214 that has passed through slit device 218 and having a second region that receives light 216 that has passes through slit device 218.
  • detector 219 may be formed from two one-dimensional detector arrays.
  • Beam displacer 212 may be formed, as an example, from a pair of crystal polarizers optically coupled together.
  • the first crystal polarizer may pass light of a first polarization (without substantially altering its direction of travel), while bending light of a second polarization away from the light of the first polarization.
  • the second crystal polarizer may pass the light of the first polarization, while bending the light of the second polarization back, such that beams of light exit the second crystal substantially parallel to each other. If desired, the second crystal polarizer may be omitted.
  • the bent beam of light of the second polarization may be directed to a different detector (e.g., in a manner similar to that of FIG.
  • dual-channel optical metrology system 220 may receive reflected light 221 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. IB).
  • reflected light 221 e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. IB.
  • the light 221 may pass through a polarized beam splitter 222, which splits the light into light 224 of a first polarization and light 226 of a second polarization (that is orthogonal to the first polarization).
  • light 224 may be transverse-electric or s-polarized light and light 226 may be transverse-magnetic or p-polarized light.
  • light 224 may exit the polarized beam splitter 222 at an angle from light 226.
  • Light 224 is passed through slit device 228a and received by detector 229a (e.g., a one-dimensional linear detector), while light 226 is passed through slit device 228b and received by detector 229b (e.g., a one-dimensional linear detector).
  • detector 229a e.g., a one-dimensional linear detector
  • detector 229b e.g., a one-dimensional linear detector
  • dualchannel optical metrology system 230 may receive reflected light 231 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. IB).
  • the light 231 may pass through a polarized beam splitter 232, which splits the light into light 234 of a first polarization and light 236 of a second polarization (that is orthogonal to the first polarization).
  • light 234 may be transverse-electric or s-polarized light and light 236 may be transverse-magnetic or p-polarized light.
  • light 234 may exit the polarized beam splitter 232 at an angle from light 236.
  • Light 234 and light 236 may then be optically routed to different portions of a common slit device 236 (or separate slit devices).
  • optical fibers 235a and 235b route light 234 and 236 to respective portions of the slit device 236.
  • light 234 and 236 may be routed to respective portions of the slit device 236 by other optical devices or components such as one or more lenses, mirrors, or combinations thereof.
  • detector 2308 may include a two- dimensional array of detecting elements with at least one row of detecting elements aligned with light 234 and at least another row of detecting elements aligned with light 236.
  • EFEM equipment front end module
  • FOUP front opening unified pod
  • the wafers would need to be translated relative to the optical head.
  • the system would have to be capable of translating wafers, in each of two perpendicular axes, a distance of twice the diameter of the wafers.
  • a system configured for 300 mm would have to provide for 600 mm of travel in a first direction, and 600 mm of travel in a second perpendicular direction, and thus the system would require a minimum footprint of 600 mm by 600 mm, making integration with standard 300 mm components such as FOUPs and EFEMs difficult.
  • a metrology system 300 may include one or more optical heads 302 that are moveable along a first axis (e.g., the horizontal axis of FIG. 3A) and substantially stationary along a second axis (e.g., the vertical axis of FIG. 3B) and may include wafer handling mechanisms that move a wafer along the second axis.
  • the optical heads 302 may be moveable along the first axis across the range 303, while the wafer handling mechanisms are configured to move the waver along the second axis across the range 305.
  • a metrology system 310 may include one or more optical heads 312 moveable along the first and second axis, while the wafer 314 remains substantially stationary.
  • the optical heads 313 may be moveable anywhere within ranges 313 and 313.
  • Dashed outline 316 illustrates an optical head at the leftmost extreme of range 313 and slightly below centered of range 315.
  • the footprint of the metrology system is reduced by providing for movement of the optical head(s) instead of movement of the wafers.
  • the metrology system 300 can have a footprint as small as one wafer diameter by two wafer diameters (e.g., 300 mm by 600 mm, when used with 300 mm wafers).
  • the metrology system 310 in the example of FIG. 3B can have a footprint as small as one wafer diameter by one wafer diameter (e.g., 300 mm by 300 mm, when used with 300 mm wafers).
  • the various examples of metrology systems disclosed herein may include one or more optical heads.
  • Each optical head may include separate components such as light source(s), focusing lenses, beam splitters, beam displacers, polarized beam splitters, optical fibers, lenses, mirrors, etc. Some components, such as light source 120, may be shared by multiple optical heads (e.g., light from a single light source 120 may be divided up and routed to multiple optical heads). Each optical head may be capable of simultaneous collection of two polarization spectra (e.g., as described in connection with at least FIGS. 1B, 2B, 2C, and 2D). Metrology systems with two or more optical heads may be able to simultaneously collect measurements at multiple locations on a wafer, thereby potentially speeding up metrology operations. In some implementations, metrology systems with multiple optical heads may include mechanisms to move the scan locations of the optical heads independently of each other. In other implementations, multiple optical heads may be coupled together such that they cannot move entirely independently. As example of such implementations is shown in FIG. 3C.
  • a metrology system may include multiple optical heads 320a and 320b mechanically coupled to a common element such as rail 322.
  • Rail 322 may provide structural support and stability to optical heads 320a and 320b.
  • Actuators may be provided to move rail 322 along axis 328 such that the optical heads can reach any desired portion of a wafer along axis 328, which may be referred to as a y-axis.
  • Each of the optical heads 320a and 320b may be moveable along the rail 322 as indicated by arrows 326a and 326b (e.g., to adjust the x-axis position of the optical heads).
  • actuators may be provided for each of the optical heads to independently move the optical heads 320a and 320b along the rail 322.
  • Control circuitry such as controller 102 may be configured to prevent collisions of optical heads 320a and 320b (e.g., by ensuring that the optical heads remain physically separated).
  • the range of movement of one or more of the optical heads may be physical restricted to prevent collisions.
  • optical heads 320a and 320b may, if desired, be provided with fine independent capabilities along axis 328 (as indicated by arrows 324a and 324b). With arrangements of this type, the rail 322 can be moved to a rough position along axis 328 and then the individual optical heads 320a and 320b can be independently moved to their final positions along axis 328. In some implementations, the fine movement range along axis 328 of optical heads 320a and 320b may be approximately 1 mm, approximately 2 mm, or approximately 5 mm (above or below rail 322 along axis 328). [0052] One additional benefit of the arrangements described herein, particularly those of
  • FIGS. 3B and 3C is that the optical metrology system can scan any desired portion of a wafer, maintain a compact footprint, and may not require rotation of the wafer (as part of scanning any desired portion of the wafer). Because the optical metrology system can operate with nonrotating wafer, the wafer handling mechanisms of the system can be significantly simplified. Additionally and because rotation of the wafer can impact metrology scans, operating with non-rotating wafers can avoid any need to rotate optical heads or other components to compensate for wafer rotation, further simplifying the mechanical and optical systems.
  • FIG. 4A illustrates a wafer fabrication system 400 including an EFEM 402 configured to move wafers, in a controlled environment, between one or more process tool(s) 404 and one or more FOUPs mounted to respective load ports 404 (e.g., load ports LP1, LP2, LP3, and LP4). As shown in FIG.
  • load ports 404 may have a width 406 determined substantially by the diameter of the wafers. Width 406 may be slightly larger than the wafer size. As a particular example, the width 406 of the load ports 404 may be approximately 400 mm, when configured for 300 mm diameter wafers.
  • a wafer fabrication system 410 may include an integrated metrology module (IMM) 412, which may be integrated into one (or more) of the load ports of the EFEM 402.
  • a wafer fabrication system 420 may include an IMM 422 integrated onto the side of EFEM 402.
  • a wafer fabrication system 430 may include an IMM 432 integrated onto a back side of EFEM 402 (e.g., a process tool side of the EFEM).
  • IMMs 412, 422, and 432 may be any of the metrology systems disclosed herein.
  • EFEM 402 can load wafers into and out of FOUPs (e.g., via load ports LP1-LP3 or LP1-LP4), into and out of the IMM (412, 422, or 432) for optical metrology operations, and into and out of one or more process tools for fabrication operations.
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a metrology tool or tools, processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the "controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the movement of metrology components relative to a wafer, the collection of metrology measurements, the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool (e.g., a processing tool or a metrology tool) and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • a tool e.g., a processing tool or a metrology tool
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the "cloud" or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication and metrology operations, examine a history of past fabrication and metrology operations, examine trends or performance metrics from a plurality of fabrication and metrology operations, to change parameters of current processing and metrology, to set processing steps to follow a current processing or metrology operation, or to start a new process or metrology operation.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing and metrology steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a metrology modulate, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules such as metrology modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • other tool circuits or modules such as metrology modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • any use of ordinal indicators e.g., (a), (b), (c), ..., herein is for organizational purposes only, and is not intended to convey any particular sequence or importance to the items associated with each ordinal indicator.
  • each such as in the phrase “for each ⁇ item> of the one or more ⁇ items>” or “of each ⁇ item>,” if used herein, should be understood to be inclusive of both a single-item group and multiple-item groups, i.e., the phrase “for ... each” is used in the sense that it is used in programming languages to refer to each item of whatever population of items is referenced.
  • each would refer to only that single item (despite the fact that dictionary definitions of "each” frequently define the term to refer to "every one of two or more things") and would not imply that there must be at least two of those items.
  • a selected item may have one or more sub-items and a selection of one of those sub-items is made, it will be understood that in the case where the selected item has one and only one sub-item, selection of that one sub-item is inherent in the selection of the item itself.
  • references to multiple controllers that are configured, in aggregate, to perform various functions are intended to encompass situations in which only one of the controllers is configured to perform all of the functions disclosed or discussed, as well as situations in which the various controllers each perform subportions of the functionality discussed.
  • references to multiple controllers that are configured, in aggregate, to perform various functions are intended to encompass situations in which only one of the controllers is configured to perform all of the functions disclosed or discussed, as well as situations in which the various controllers each perform subportions of the functionality discussed.
  • Various modifications to the implementations described in this disclosure may be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other implementations without departing from the spirit or scope of this disclosure. Thus, the claims are not intended to be limited to the implementations shown herein, but are to be accorded the widest scope consistent with this disclosure, the principles and the novel features disclosed herein.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Optical metrology systems and components for semiconductor processing systems are disclosed that include various features designed to promote rapid measurements while maintaining a compact footprint.

Description

METROLOGY MODULE WITH PARALLEL ACQUISITION OF BROADBAND POLARIZED SPECTRA
INCORPORATION BY REFERENCE [0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes.
BACKGROUND [0002] Electronic devices are fabricated on substrates such as semiconductor wafers using a variety of processing techniques such as deposition, masking, etching, cleaning and/or other treatments. Examples of deposition techniques include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), etc. Examples of removal or etching techniques include stripping, wet etching, dry etching, chemical mechanical polishing (CMP), etc.
[0003] During production, it is desirable to assess the substrates to determine whether processing is being performed correctly and/or to adjust the process prior to production of subsequent substrates.
[0004] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
SUMMARY [0005] In one aspect, an optical metrology apparatus for obtaining spectroscopic information about a semiconductor device is provided, where the apparatus includes a light source configured to illuminate the semiconductor device with broadband un-polarized light, at least one optical element configured to receive reflections of the broadband un-polarized light off of the semiconductor device and separate the reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization, and spectroscopy equipment configured to receive the first light and the second light, where the spectroscopy equipment is configured to measure spectroscopic information of the first light while simultaneously measuring spectroscopic information of the second light.
[0006] In another aspect, an optical metrology apparatus for obtaining information about a semiconductor device is provided, where the apparatus includes at least one light source configured to illuminate at least first and second positions on the semiconductor device with light, a first optical head configured to receive first reflections of the light off of at least the first position on the semiconductor device, a second optical head configured to receive second reflections of the light off of at least the second position on the semiconductor device, and at least one optical detector configured to simultaneously receive the first reflections from the first optical head and the second reflections from the second optical head. [0007] In another aspect, a method of obtaining spectroscopic measurements of a semiconductor device is provided, where the method includes, illuminating, with at least one light source, a first portion of a semiconductor device with broadband un-polarized light, receiving, with at least one optical element, reflections of the light off of the first portion of the semiconductor device and separating the reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization, and deceiving, with spectroscopy equipment, the first light and the second light and measuring spectroscopic information of the first light while simultaneously measuring spectroscopic information of the second light.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] FIG. 1A illustrates a schematic of an example wafer fabrication system according to some implementations. [0009] FIG. 1B illustrates a cross-sectional schematic of an example optical metrology module according to some implementations.
[0010] FIG. 2A is a schematic of example components of an optical metrology module having a rotatable polarizer according to some implementations. [0011] FIG. 2B is a schematic of example components of an optical metrology module having a beam displacer according to some implementations.
[0012] FIGS. 2C and 2D are schematics of examples of components of optical metrology modules having a polarized beam splitter according to some implementations.
[0013] FIG. 3A illustrates ranges of movement of a subject wafer and of optical heads in an optical metrology module according to some implementations.
[0014] FIG. 3B illustrates ranges of movement of optical heads in an optical metrology module according to some implementations.
[0015] FIG. 3C illustrates ranges of movement of optical heads relative to a rail in an optical metrology module according to some implementations. [0016] FIG. 4A illustrates an example wafer fabrication system according to some implementations.
[0017] FIGS. 4B, 4C, and 4D illustrate various example configurations of an optical metrology modulate in a wafer fabrication system according to some implementations.
DETAILED DESCRIPTION
[0018] In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented concepts. The presented concepts may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the described concepts. While some concepts will be described in conjunction with the specific embodiments, it will be understood that these embodiments are not intended to be limiting.
[0019] In this application, the terms "semiconductor wafer," "wafer," "substrate," "wafer substrate," and the like are used interchangeably. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, 300 mm, or 450 mm, but may also be non-circular and of other dimensions. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices and the like. [0020] Metrology modules and systems, such as those described herein, can be used to extra information about within-wafer (WiW) non-uniformity or variability which can then be used for feedforward within wafer control (e.g., adjusting subsequent processing operations applied to a given wafer to compensate for identified non-uniformities) and other feedback purposes. Within wafer (WiW) non-uniformity or variability may refer to variations within a single wafer, such as the uniformity (or lack thereof) of thicknesses at different positions within a single wafer. Metrology modules and systems, such as those described herein, can also be used to measure and provide feedback related to wafer-to-wafer (WtW) non-uniformity or variability.
[0021] One problem that can arise with WiW metrology systems (e.g., systems measuring variability within individual wafers) is that obtaining a large number of samples for each wafer can be excessively time consuming. Additionally, if a WiW metrology system is not sufficiently compact, it may be difficult to integrate with industry standard components such as an equipment front end module (EFEM) or a front opening unified pod (FOUP), which may further slow metrology operations. The present implementations contemplate and facilitate the collection of 30, 40, 50, 60, 70, 80, 90, 100, or more optical metrology samples or measurements per wafer, where those samples or measurements are taken from a corresponding number of locations within each wafer. [0022] Systems and methods according to the present disclosure relate to a metrology system that can simultaneously capture two polarization spectra of a wafer and/or can simultaneously capture spectra from multiple locations on a wafer. The metrology system may also have a relatively compact form factor, which may facilitate integration with other semiconductor fabrication equipment such as an equipment front end module (EFEM) or a front opening unified pod (FOUP). Integration of the metrology system with other semiconductor fabrication equipment can increase the metrology system's throughput significantly, as discussed in further detail below.
[0023] FIG. 1 illustrates an example wafer fabrication system 100 for performing the embodiments of the present disclosure. System 100 includes controller 102, analysis module 104, database(s) 106, substrate transport and handling components 108, optical metrology system 110, and process tool(s) 112.
[0024] Controller 102 may be in communication, electrical or otherwise, with the various components of system 100. Controller 102 may receive metrology scans from optical metrology system 110; store the metrology scans and/or results of analyzing said scans in database(s) 106; retrieve metrology scans and/or results of analyzing said scans from database(s) 106, provide control instructions to optical metrology system 110 (e.g., instructions that cause metrology system 110 to measure particular portions of a wafer), provide control instructions to substrate transport and handling system 108 (e.g., instructions that cause substrate transport and handling system 108 to move one or more wafers between optical metrology system 110, process tools 112, and other components (such as an EFEM or FOUP component of substrate transport and handling system 108), and provide control instructions to process tool(s) 112 (e.g., instructions that cause process tool(s) to perform particular semiconductor fabrication processes on one or more wafers loaded into the tool(s)). These are merely illustrative and nonlimiting examples of the functionality of controller 102.
[0025] In some implementations, controller 102 may include a analysis module 104. The analysis module 104 may obtain metrology scans (from system 110 or database(s) 106) and may analyze those scans. As an example, the controller 102 may analyze signals obtained by a detector in the optical metrology system 110 to measure within-wafer (WiW) variability.
[0026] Database(s) 106 may store any desired information such as, but not limited to, signals obtained by a detector in the optical metrology system 110, analysis results from analysis module 104 or another component in system 100, software for controlling the various components in system 100, etc.
[0027] Substrate transport and handling system 108 may include various components, mechanism, sub-systems, and other devices configured to transport, handle, and store wafers during fabrication. As examples, substrate transport and handling system 108 may include industry standard components such as equipment front end module (EFEM) or a front opening unified pod (FOUP). An EFEM may be used to move wafers between a FOUP and one or more process tool(s), within a contained environment.
[0028] Process tool(s) 112 can include any tools used in semiconductor fabrication including, but not limited to, deposition tools, dry and wet etching tools, cleaning tools, planarization tools such as chemical mechanical polishing (CMP) tools, etc. [0029] As shown in FIG. IB, optical metrology system 110 may be configured to simultaneously capture two polarization spectra of a wafer 130, which may be loaded into and out of optical metrology system 110 by substrate transport and handling system 108. As an example, optical metrology system 110 may include components such as a light source 120, a beam splitter 124, a focus lens 126, a beam displacer 134, and a sensor 136. Optical metrology system 110 may also be referred to herein as an optical metrology module.
[0030] Light source 120 may be a broadband light source providing illumination for the optical metrology system 110. The light source 120 may generate and emit a spectrum of light that extends from ultraviolet (UV) to near infrared (near-IR) wavelengths. As examples, the light source 120 may generate and emit a spectrum of light that extends from approximately 150 nanometers (nm), 175 nm, 190 nm, 200 nm, 225 nm, or 250 nm to approximately 800 nm, 1,000 nm, or 1,300 nm. The spectrum of light from light source 120 may have any desired shape.
[0031] Light 122 from the light source 120 may be conveyed by one or more optical elements (not shown) to beam splitter 124, which may redirect at least a portion of the light 122 towards focus lens 126 (or one or more unshown optical elements between beam splitter 124 and lens 126). [0032] Focus lens 126 may, as an example, have an adjustable focus such that light 122 from light source 120 can be properly focused onto wafer 130 and light 132 reflected off of wafer 130 can be properly captured. In some implementations, the focus lens 126 may have a piezo- electrically driven focus mechanism. The adjustable focus of focus lens 126 may help compensate for variations within a single wafer 130, variations between different wafers 130 including variations in how individual wafers 130 are loaded into the optical metrology system 110. In some implementations, focus lens 126 is moveable towards and away from wafers 130 (in a z-axis) in order to achieve focusing.
[0033] Light 132 reflected off of the wafer 130 may be received by lens 126, pass through beam splitter 124, and be conveyed to beam displacer 134. Beam displacer 134, which will be described in further detail below, may be configured to separate reflected light 132 by polarization. In particular, beam displacer 134 may receive the broadband unpolarized reflected light 132 and may separate that unpolarized light into transverse-electric or s- polarized light 138 and transverse-magnetic or p-polarized light 140. The s-polarized light 138 and the p-polarized light 140 may then be provided to different portions of a sensor 136. [0034] Sensor 136 may include, as an example, a spectrometer with dual channel inputs. As examples, sensor 136 may include one or more slit devices (configured to generate line spread functions from broadband light) and may include an optical detector sensitive to the broadband wavelengths emitted by light source 120. The slit devices may be configured to generate line spread functions that extend across the optical detector, such that the optical detector can measure the spectrum of light reflected off of a subject wafer. The optical detector may be a two-dimensional detector with different channels on different regions of the detector. As another example, the optical detector may include two one-dimensional detectors, each receiving a different channel of light (e.g., a respective one of beams 138 and 140). In some implementations, sensor 136 may be a detector other than a spectrometer and/or light source 120 may be a non-broadband light source. As specific examples, light source 120 may be a narrow band light source producing light in one or more narrow frequency bands. As a specific example, light source 120 may be a monochromatic light source.
[0035] The detectors, such as sensor 136, described herein may be formed using any suitable detector technologies. As examples, the detectors described herein may include broadband detection capabilities that match the frequency range of light emitted by light source 120. As examples, the optical sensors and detectors described herein may be formed from one- dimensional lines or two-dimensional arrays of devices such as complementary metal-oxide- semiconductor (CMOS) sensors or charge-coupled device (CCD) sensors.
[0036] Components of a single-channel optical metrology system 200 that may be used in the various implementations described herein are illustrated in FIG. 2A. As shown in FIG. 2A, singlechannel optical metrology system 200 may receive reflected light 201 (e.g., broadband unpolarized light reflected off of a wafer). The light 201 may pass through a rotatable polarizer 202, which splits the light into light 204 of a first polarization and light 206 of a second polarization (that is orthogonal to the first polarization). Light 204 is then passed through slit device 205 and received by spectrometer 208 (e.g., a one-dimensional optical detector). Light 206 is discarded by routing it to dumping device 207. When it desired to obtain metrology scans in a different polarization, the polarizer 202 is rotated or otherwise adjusted such that light 204 is routed to dump device 207 and light 206 is routed to slit device 205.
[0037] Components of a dual-channel optical metrology system 210 that may be used in the various implementations described herein are illustrated in FIG. 2B. As shown in FIG. 2B, dual- channel optical metrology system 210 may receive reflected light 211 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. 1B). The light 211 may pass through beam displacer 212, which splits the light into light 214 of a first polarization and light 216 of a second polarization (that is orthogonal to the first polarization). As examples, light 214 may be transverse-electric or s-polarized light and light 216 may be transverse-magnetic or p-polarized light.
[0038] As shown in FIG. 2B, light 214 may exit the beam displacer 212 substantially parallel to light 216, at least in some implementations. Light 214 and 216 may then pass through different portions of slit device 218 (or separate slit devices) and impinge on and be received by different portions of detector 219. Detector 219 may, as an example, be a two-dimensional detector array having a first region that receives light 214 that has passed through slit device 218 and having a second region that receives light 216 that has passes through slit device 218. As another example, detector 219 may be formed from two one-dimensional detector arrays.
[0039] Beam displacer 212 may be formed, as an example, from a pair of crystal polarizers optically coupled together. The first crystal polarizer may pass light of a first polarization (without substantially altering its direction of travel), while bending light of a second polarization away from the light of the first polarization. The second crystal polarizer may pass the light of the first polarization, while bending the light of the second polarization back, such that beams of light exit the second crystal substantially parallel to each other. If desired, the second crystal polarizer may be omitted. In such examples, the bent beam of light of the second polarization may be directed to a different detector (e.g., in a manner similar to that of FIG. 2C), may be redirected to be parallel with the beam of light of the first polarization (e.g., with one or more optical fibers as in FIG. 2D and/or with one or more lenses, mirrors, and other optical elements) and conveyed to a common detector. [0040] Components of a dual-channel optical metrology system 220 that may be used in the various implementations described herein are illustrated in FIG. 2C. As shown in FIG. 2C, dualchannel optical metrology system 220 may receive reflected light 221 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. IB). The light 221 may pass through a polarized beam splitter 222, which splits the light into light 224 of a first polarization and light 226 of a second polarization (that is orthogonal to the first polarization). As examples, light 224 may be transverse-electric or s-polarized light and light 226 may be transverse-magnetic or p-polarized light. [0041] As shown in FIG. 2C, light 224 may exit the polarized beam splitter 222 at an angle from light 226. Light 224 is passed through slit device 228a and received by detector 229a (e.g., a one-dimensional linear detector), while light 226 is passed through slit device 228b and received by detector 229b (e.g., a one-dimensional linear detector).
[0042] Components of a dual-channel optical metrology system 230 that may be used in the various implementations described herein are illustrated in FIG. 2D. As shown in FIG. 2D, dualchannel optical metrology system 230 may receive reflected light 231 (e.g., broadband unpolarized light reflected off of a wafer such as light 132 reflected off of wafer 130 of FIG. IB). The light 231 may pass through a polarized beam splitter 232, which splits the light into light 234 of a first polarization and light 236 of a second polarization (that is orthogonal to the first polarization). As examples, light 234 may be transverse-electric or s-polarized light and light 236 may be transverse-magnetic or p-polarized light.
[0043] As shown in FIG. 2D, light 234 may exit the polarized beam splitter 232 at an angle from light 236. Light 234 and light 236 may then be optically routed to different portions of a common slit device 236 (or separate slit devices). In the example of FIG. 2D, optical fibers 235a and 235b route light 234 and 236 to respective portions of the slit device 236. In other implementations, light 234 and 236 may be routed to respective portions of the slit device 236 by other optical devices or components such as one or more lenses, mirrors, or combinations thereof. After light 234 and 236 passes through slit device 236, the resulting line spread functions are received by different portions of detector 238, which may include a two- dimensional array of detecting elements with at least one row of detecting elements aligned with light 234 and at least another row of detecting elements aligned with light 236. [0044] As previously discussed, if a WiW metrology system is not sufficiently compact, it may be difficult to integrate with industry standard components such as an equipment front end module (EFEM) or a front opening unified pod (FOUP), which may slow metrology operations. Consider, as an example, a WiW metrology system with a fixed optical head, designed to take measurements from any desired section of wafers of a given diameter. Because the optical head is fixed, the wafers would need to be translated relative to the optical head. The system would have to be capable of translating wafers, in each of two perpendicular axes, a distance of twice the diameter of the wafers. Thus, a system configured for 300 mm would have to provide for 600 mm of travel in a first direction, and 600 mm of travel in a second perpendicular direction, and thus the system would require a minimum footprint of 600 mm by 600 mm, making integration with standard 300 mm components such as FOUPs and EFEMs difficult.
[0045] In some implementations, the WiW metrology systems disclosed herein may be designed with compact footprints. As an example and as illustrated in FIG. 3A, a metrology system 300 may include one or more optical heads 302 that are moveable along a first axis (e.g., the horizontal axis of FIG. 3A) and substantially stationary along a second axis (e.g., the vertical axis of FIG. 3B) and may include wafer handling mechanisms that move a wafer along the second axis. In particular, the optical heads 302 may be moveable along the first axis across the range 303, while the wafer handling mechanisms are configured to move the waver along the second axis across the range 305. In this manner, the wafer can be at center position 304a, uppermost position 304b, lowermost position 304c, or any position therebetween. Meanwhile, the optical heads 302 can be at any position within range 303. As indicated by the dashed outline 306, at least one of the optical heads 302 can be positioned at the extreme leftwards edge of the wafer (from the FIG. 3A perspective). Movement of the optical heads 302 and wafer handling mechanisms may be controlled by controller 102, as an example. [0046] In other implementations, a metrology system 310 may include one or more optical heads 312 moveable along the first and second axis, while the wafer 314 remains substantially stationary. In these implementations, the optical heads 313 may be moveable anywhere within ranges 313 and 313. Dashed outline 316 illustrates an optical head at the leftmost extreme of range 313 and slightly below centered of range 315.
[0047] With the arrangements of FIGS. 3A and 3B, the footprint of the metrology system is reduced by providing for movement of the optical head(s) instead of movement of the wafers. In the example of FIG. 3A, the metrology system 300 can have a footprint as small as one wafer diameter by two wafer diameters (e.g., 300 mm by 600 mm, when used with 300 mm wafers). Similarly, the metrology system 310 in the example of FIG. 3B can have a footprint as small as one wafer diameter by one wafer diameter (e.g., 300 mm by 300 mm, when used with 300 mm wafers). [0048] The various examples of metrology systems disclosed herein may include one or more optical heads. Each optical head may include separate components such as light source(s), focusing lenses, beam splitters, beam displacers, polarized beam splitters, optical fibers, lenses, mirrors, etc. Some components, such as light source 120, may be shared by multiple optical heads (e.g., light from a single light source 120 may be divided up and routed to multiple optical heads). Each optical head may be capable of simultaneous collection of two polarization spectra (e.g., as described in connection with at least FIGS. 1B, 2B, 2C, and 2D). Metrology systems with two or more optical heads may be able to simultaneously collect measurements at multiple locations on a wafer, thereby potentially speeding up metrology operations. In some implementations, metrology systems with multiple optical heads may include mechanisms to move the scan locations of the optical heads independently of each other. In other implementations, multiple optical heads may be coupled together such that they cannot move entirely independently. As example of such implementations is shown in FIG. 3C.
[0049] As shown in FIG. 3C, a metrology system may include multiple optical heads 320a and 320b mechanically coupled to a common element such as rail 322. Rail 322 may provide structural support and stability to optical heads 320a and 320b. Actuators may be provided to move rail 322 along axis 328 such that the optical heads can reach any desired portion of a wafer along axis 328, which may be referred to as a y-axis. [0050] Each of the optical heads 320a and 320b may be moveable along the rail 322 as indicated by arrows 326a and 326b (e.g., to adjust the x-axis position of the optical heads). As an example, actuators may be provided for each of the optical heads to independently move the optical heads 320a and 320b along the rail 322. Control circuitry such as controller 102 may be configured to prevent collisions of optical heads 320a and 320b (e.g., by ensuring that the optical heads remain physically separated). Alternatively or in addition, the range of movement of one or more of the optical heads may be physical restricted to prevent collisions.
[0051] While the rail 322 provides gross movement along axis 328, one or both of optical heads 320a and 320b may, if desired, be provided with fine independent capabilities along axis 328 (as indicated by arrows 324a and 324b). With arrangements of this type, the rail 322 can be moved to a rough position along axis 328 and then the individual optical heads 320a and 320b can be independently moved to their final positions along axis 328. In some implementations, the fine movement range along axis 328 of optical heads 320a and 320b may be approximately 1 mm, approximately 2 mm, or approximately 5 mm (above or below rail 322 along axis 328). [0052] One additional benefit of the arrangements described herein, particularly those of
FIGS. 3B and 3C, is that the optical metrology system can scan any desired portion of a wafer, maintain a compact footprint, and may not require rotation of the wafer (as part of scanning any desired portion of the wafer). Because the optical metrology system can operate with nonrotating wafer, the wafer handling mechanisms of the system can be significantly simplified. Additionally and because rotation of the wafer can impact metrology scans, operating with non-rotating wafers can avoid any need to rotate optical heads or other components to compensate for wafer rotation, further simplifying the mechanical and optical systems.
[0053] Compact arrangements of the optical metrology systems disclosed herein, including, but not limited to, the arrangements of FIGS. 3B and 3C, may enable and facilitate integration of the optical metrology system with industry standard components such as an equipment front end module (EFEM) or a front opening unified pod (FOUP). By integrating the optical metrology system with such components, the speed of metrology operations can be improved. [0054] FIG. 4A illustrates a wafer fabrication system 400 including an EFEM 402 configured to move wafers, in a controlled environment, between one or more process tool(s) 404 and one or more FOUPs mounted to respective load ports 404 (e.g., load ports LP1, LP2, LP3, and LP4). As shown in FIG. 4A, load ports 404 may have a width 406 determined substantially by the diameter of the wafers. Width 406 may be slightly larger than the wafer size. As a particular example, the width 406 of the load ports 404 may be approximately 400 mm, when configured for 300 mm diameter wafers.
[0055] As shown in FIG. 4B, a wafer fabrication system 410 may include an integrated metrology module (IMM) 412, which may be integrated into one (or more) of the load ports of the EFEM 402. As shown in FIG. 4C, a wafer fabrication system 420 may include an IMM 422 integrated onto the side of EFEM 402. As shown in FIG. 4D, a wafer fabrication system 430 may include an IMM 432 integrated onto a back side of EFEM 402 (e.g., a process tool side of the EFEM). IMMs 412, 422, and 432 may be any of the metrology systems disclosed herein. With arrangements of this type, EFEM 402 can load wafers into and out of FOUPs (e.g., via load ports LP1-LP3 or LP1-LP4), into and out of the IMM (412, 422, or 432) for optical metrology operations, and into and out of one or more process tools for fabrication operations.
[0056] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a metrology tool or tools, processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the "controller," which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the movement of metrology components relative to a wafer, the collection of metrology measurements, the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool (e.g., a processing tool or a metrology tool) and other transfer tools and/or load locks connected to or interfaced with a specific system. [0057] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
[0058] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the "cloud" or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication and metrology operations, examine a history of past fabrication and metrology operations, examine trends or performance metrics from a plurality of fabrication and metrology operations, to change parameters of current processing and metrology, to set processing steps to follow a current processing or metrology operation, or to start a new process or metrology operation. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing and metrology steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0059] Without limitation, example systems may include a metrology modulate, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
[0060] As noted above, depending on the process step or steps to be performed by the tool (which may include a metrology module or tool), the controller might communicate with one or more of other tool circuits or modules such as metrology modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. [0061] It is also to be understood that any use of ordinal indicators, e.g., (a), (b), (c), ..., herein is for organizational purposes only, and is not intended to convey any particular sequence or importance to the items associated with each ordinal indicator. There may nonetheless be instances in which some items associated with ordinal indicators may inherently require a particular sequence, e.g., "(a) obtain information regarding X, (b) determine Y based on the information regarding X, and (c) obtain information regarding Z"; in this example, (a) would need to be performed (b) since (b) relies on information obtained in (a)— (c), however, could be performed before or after either of (a) and/or (b).
[0062] It is to be understood that use of the word "each," such as in the phrase "for each <item> of the one or more <items>" or "of each <item>," if used herein, should be understood to be inclusive of both a single-item group and multiple-item groups, i.e., the phrase "for ... each" is used in the sense that it is used in programming languages to refer to each item of whatever population of items is referenced. For example, if the population of items referenced is a single item, then "each" would refer to only that single item (despite the fact that dictionary definitions of "each" frequently define the term to refer to "every one of two or more things") and would not imply that there must be at least two of those items. Similarly, when a selected item may have one or more sub-items and a selection of one of those sub-items is made, it will be understood that in the case where the selected item has one and only one sub-item, selection of that one sub-item is inherent in the selection of the item itself. [0063] It will also be understood that references to multiple controllers that are configured, in aggregate, to perform various functions are intended to encompass situations in which only one of the controllers is configured to perform all of the functions disclosed or discussed, as well as situations in which the various controllers each perform subportions of the functionality discussed. [0064] Various modifications to the implementations described in this disclosure may be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other implementations without departing from the spirit or scope of this disclosure. Thus, the claims are not intended to be limited to the implementations shown herein, but are to be accorded the widest scope consistent with this disclosure, the principles and the novel features disclosed herein.
[0065] Certain features that are described in this specification in the context of separate implementations also can be implemented in combination in a single implementation. Conversely, various features that are described in the context of a single implementation also can be implemented in multiple implementations separately or in any suitable subcombination. Moreover, although features may be described above as acting in certain combinations and even initially claimed as such, one or more features from a claimed combination can in some cases be excised from the combination, and the claimed combination may be directed to a sub-combination or variation of a sub-combination.
[0066] Similarly, while operations are depicted in the drawings in a particular order, this should not be understood as requiring that such operations be performed in the particular order shown or in sequential order, or that all illustrated operations be performed, to achieve desirable results. Further, the drawings may schematically depict one more example processes in the form of a flow diagram. However, other operations that are not depicted can be incorporated in the example processes that are schematically illustrated. For example, one or more additional operations can be performed before, after, simultaneously, or between any of the illustrated operations. In certain circumstances, multitasking and parallel processing may be advantageous. Moreover, the separation of various system components in the implementations described above should not be understood as requiring such separation in all implementations, and it should be understood that the described program components and systems can generally be integrated together in a single software product or packaged into multiple software products. Additionally, other implementations are within the scope of the following claims. In some cases, the actions recited in the claims can be performed in a different order and still achieve desirable results.

Claims

CLAIMS What is claimed is:
1. An optical metrology apparatus for obtaining spectroscopic information about a semiconductor device, the apparatus comprising: a light source configured to illuminate the semiconductor device with broadband unpolarized light; at least one optical element configured to receive reflections of the broadband unpolarized light off of the semiconductor device and separate the reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization; and spectroscopy equipment configured to receive the first light and the second light, wherein the spectroscopy equipment is configured to measure spectroscopic information of the first light while simultaneously measuring spectroscopic information of the second light.
2. The apparatus of claim 1, wherein the at least one optical element comprises an adjustable focus lens.
3. The apparatus of claim 1, wherein the at least one optical element comprises a beam displacer.
4. The apparatus of claim 3, wherein beam displacer comprises no more than one crystal polarizer.
5. The apparatus of claim 3, wherein beam displacer comprises a pair of crystal polarizers.
6. The apparatus of claim 1, wherein the at least one optical element comprises a polarized beam splitter.
7. The apparatus of claim 1, wherein spectroscopy equipment comprises at least one optical slit device configured to generate a line spread function from broadband light.
8. The apparatus of claim 1, wherein spectroscopy equipment comprises first and second one-dimensional optical detectors, the first one-dimensional optical detector being configured to measure spectroscopic information associated with the first light and the second one-dimensional optical detector being configured to measure spectroscopic information associated with the second light.
9. The apparatus of claim 1, wherein spectroscopy equipment comprises a two- dimensional optical detector, the two-dimensional optical detector comprising a first region configured to measure spectroscopic information associated with the first light and a second region configured to measure spectroscopic information associated with the second light.
10. The apparatus of claim 1, further comprising one or more optical fibers coupling at least one of the first light and the second light from the at least one optical element to the spectroscopy equipment.
11. The apparatus of claim 1, wherein the at least one optical element forms at least part of a first optical head in the optical metrology apparatus, the first optical head being configured to obtain spectroscopic information from at least a first position on the semiconductor device, the apparatus further comprising: a second optical head, the second optical head being configured to obtain spectroscopic information from at least a second position on the semiconductor device while the first optical head is obtaining spectroscopic information from at least the first position on the semiconductor device, wherein the second optical head comprises at least one additional optical element configured to receive reflections of the broadband un-polarized light off of the semiconductor device and separate the reflections into third light of the first polarization and fourth light of the second polarization; and additional spectroscopy equipment configured to receive the third light and the fourth light, wherein the additional spectroscopy equipment is configured to measure spectroscopic information of the third light while simultaneously measuring spectroscopic information of the fourth light.
12. The apparatus of claim 11, wherein the light source comprises a first light source associated with the first optical head and a second light source associated with the second optical head.
13. The apparatus of claim 11, wherein the light source comprises a single light source associated with both the first and second optical heads.
14. The apparatus of claim 11, further comprising: a common rail, wherein the first and second optical heads are mechanically coupled to the common rail; a first actuator configured to move the common rail, thereby moving the first and second optical heads, along a first axis relative to the semiconductor device; a second actuator configured to move the first optical head along a second axis, the second axis being perpendicular to the first axis; and a third actuator configured to move the second optical head along the second axis.
15. The apparatus of claim 1, further comprising: a first actuator configured to move the semiconductor device along a first axis relative to the at least one optical element; and a second actuator configured to move the at least one optical element along a second axis relative to the semiconductor device, the second axis being perpendicular to the first axis.
16. An optical metrology apparatus for obtaining information about a semiconductor device, the apparatus comprising: at least one light source configured to illuminate at least first and second positions on the semiconductor device with light; a first optical head configured to receive first reflections of the light off of at least the first position on the semiconductor device; a second optical head configured to receive second reflections of the light off of at least the second position on the semiconductor device; and at least one optical detector configured to simultaneously receive the first reflections from the first optical head and the second reflections from the second optical head.
17. The apparatus of claim 16, further comprising: a common rail, wherein the first and second optical heads are mechanically coupled to the common rail; a first actuator configured to move the common rail along a first axis relative to the semiconductor device, thereby moving the first and second optical heads and moving the first and second positions on the semiconductor device; a second actuator configured to move the first optical head along a second axis, the second axis being perpendicular to the first axis, thereby further moving the first optical head and further moving the first position on the semiconductor device; and a third actuator configured to move the second optical head along the second axis, thereby further moving the second optical head and further moving the second position on the semiconductor device.
18. The apparatus of claim 17, wherein the first optical head is configured to separate the first reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization, wherein the second optical head is configured to separate the second reflections into third light of the first polarization and fourth light of the second polarization, wherein the at least one optical detector comprises spectroscopy equipment configured to simultaneously measure spectroscopic information of the first light, the second light, the third light, and the fourth light.
19. A method of obtaining spectroscopic measurements of a semiconductor device, the method comprising: with at least one light source, illuminating a first portion of a semiconductor device with broadband un-polarized light; with at least one optical element, receiving reflections of the light off of the first portion of the semiconductor device and separating the reflections into first light of a first polarization and second light of a second polarization orthogonal to the first polarization; and with spectroscopy equipment, receiving the first light and the second light and measuring spectroscopic information of the first light while simultaneously measuring spectroscopic information of the second light.
20. The method of claim 19 further comprising: with the at least one light source, illuminating a second portion of the semiconductor device with broadband un-polarized light; with at least one additional optical element, receiving reflections of the light off of the second portion of the semiconductor device and separating the reflections into third light of the first polarization and fourth light of the second polarization; and with additional spectroscopy equipment, receiving the third light and the fourth light and measuring spectroscopic information of the third light while simultaneously measuring spectroscopic information of the fourth light and concurrently.
PCT/US2020/053220 2019-10-04 2020-09-29 Metrology module with parallel acquisition of broadband polarized spectra WO2021067239A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962910818P 2019-10-04 2019-10-04
US62/910,818 2019-10-04

Publications (1)

Publication Number Publication Date
WO2021067239A1 true WO2021067239A1 (en) 2021-04-08

Family

ID=75338551

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/053220 WO2021067239A1 (en) 2019-10-04 2020-09-29 Metrology module with parallel acquisition of broadband polarized spectra

Country Status (1)

Country Link
WO (1) WO2021067239A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11719952B2 (en) 2020-08-11 2023-08-08 Applied Materials, Inc. Adjustable achromatic collimator assembly for endpoint detection systems

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5016194B1 (en) * 1967-08-19 1975-06-11
US6515745B2 (en) * 1997-09-22 2003-02-04 Hdi Instrumentation Optical measurement system using polarized light
US20100277741A1 (en) * 2007-04-09 2010-11-04 Jordan Valley Semiconductors Ltd. Combined optical metrology techniques
KR101357081B1 (en) * 2008-06-26 2014-02-03 에이에스엠엘 네델란즈 비.브이. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
US8896832B2 (en) * 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5016194B1 (en) * 1967-08-19 1975-06-11
US6515745B2 (en) * 1997-09-22 2003-02-04 Hdi Instrumentation Optical measurement system using polarized light
US20100277741A1 (en) * 2007-04-09 2010-11-04 Jordan Valley Semiconductors Ltd. Combined optical metrology techniques
KR101357081B1 (en) * 2008-06-26 2014-02-03 에이에스엠엘 네델란즈 비.브이. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
US8896832B2 (en) * 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11719952B2 (en) 2020-08-11 2023-08-08 Applied Materials, Inc. Adjustable achromatic collimator assembly for endpoint detection systems
US12066639B2 (en) 2020-08-11 2024-08-20 Applied Materials, Inc. Adjustable achromatic collimator assembly for endpoint detection systems

Similar Documents

Publication Publication Date Title
US6806971B2 (en) Method and apparatus for process control in semiconductor manufacture
US10438825B2 (en) Spectral reflectometry for in-situ process monitoring and control
TWI807016B (en) Multi-spot analysis system with multiple optical probes
US20020018217A1 (en) Optical critical dimension metrology system integrated into semiconductor wafer process tool
US20050082482A1 (en) Process monitoring using infrared optical diagnostics
WO2021067239A1 (en) Metrology module with parallel acquisition of broadband polarized spectra
TWI835976B (en) Imaging reflectometer
CN213874187U (en) System for measuring
TW202113343A (en) Wafer inspection apparatus and wafer inspection method
US20220380896A1 (en) Semiconductor process surface monitoring
TW201516396A (en) Substrate processing system with particle scan and method of operation thereof
US20240331989A1 (en) Mini spectrometer sensor for in-line, on-tool, distributed deposition or spectrum monitoring
US20240355683A1 (en) Method of metrology on pattern wafer using reflectometry
WO2024124053A1 (en) Processing tool with hyperspectral camera for metrology-based analysis
WO2024200054A1 (en) Metrology apparatus
KR20240117060A (en) Multi-head optical inspection systems and techniques for semiconductor manufacturing
TW202414635A (en) Optical spectrum sensor wafer or robot for chamber condition ‎monitoring‎
Barna et al. In Situ Metrology
Finarov et al. Integrated Metrology (IM) History at a Glance
TWM606713U (en) Integrated measurement system

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20871642

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20871642

Country of ref document: EP

Kind code of ref document: A1