Nothing Special   »   [go: up one dir, main page]

WO1996008036A1 - Process for producing micromechanical structures by means of reactive ion etching - Google Patents

Process for producing micromechanical structures by means of reactive ion etching Download PDF

Info

Publication number
WO1996008036A1
WO1996008036A1 PCT/NL1995/000221 NL9500221W WO9608036A1 WO 1996008036 A1 WO1996008036 A1 WO 1996008036A1 NL 9500221 W NL9500221 W NL 9500221W WO 9608036 A1 WO9608036 A1 WO 9608036A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
etching
etch gas
etched
process according
Prior art date
Application number
PCT/NL1995/000221
Other languages
French (fr)
Inventor
Henricus Venantius Jansen
Meint Jelle De Boer
Rob Legtenberg
Job Elders
Michael Curt Elwenspoek
Johannes Hermanus Josephus Fluitman
Original Assignee
Stichting Voor De Technische Wetenschappen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Stichting Voor De Technische Wetenschappen filed Critical Stichting Voor De Technische Wetenschappen
Priority to AU26839/95A priority Critical patent/AU2683995A/en
Publication of WO1996008036A1 publication Critical patent/WO1996008036A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00626Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0055Manufacturing logistics
    • B81C99/0065Process control; Yield prediction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y15/00Nanotechnology for interacting, sensing or actuating, e.g. quantum dots as markers in protein assays or molecular motors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0138Monitoring physical parameters in the etching chamber, e.g. pressure, temperature or gas composition

Definitions

  • the invention relates to a process for producing micro(electro)mechanical structures in a substrate using standard Reactive Ion Etching (RIE), wherein the substrate is etched with a silicon etch gas mixture.
  • RIE Reactive Ion Etching
  • the invention relates in particular to such a RIE process that can be carried out in a single run.
  • Plasma etching can be divided into three main groups; the physical ion beam etching (IBE), the synergetic reactive ion etching (REE), and the chemical plasma etching (PE).
  • IBE physical ion beam etching
  • REE synergetic reactive ion etching
  • PE chemical plasma etching
  • IBE shows only positively tapered profiles, low etch rates, and low selectivity
  • PE gives rise to isotropic profiles, high etch rates, and high selectivity.
  • RLE it is possible to provide the plasma with a chemical etchant for the etching of the substrate, a passivator for blocking the etching at the sidewalls of a trench, and an ion source for the local removal of the passivation layer at the bottom of the etching trenches.
  • RLE processes which use the deposition of a passivating film are called: ion-inhibitor RIE.
  • etching polymers When etching polymers, it is not necessary to passivate the trench sidewalls. In these cases the etching is possible because of ion bombardment. This is a typical reactive ion beam etching (RIBE) process, but can also be fulfilled at higher pressures with an RIE apparatus. RIE processes which use only the incoming ions are called; ion-induced RIE. To increase the etch rate, standard RIE is modified to create a higher density plasma [1-6], but these etchers are expensive and therefore less attractive. Normally, halogen-based plasmas are used for the chemical etching of silicon, because of their high etch rates [1-13]. Except for the fluorine-based plasmas, these gases are particularly hazardous (e.g.
  • the passivation layer can be grown: 1 from polymer precursors which are lead into the plasma [8-10], 2 by resputtering mask material [11], 3 by inserting gases which act as an oxidant (forming siliconoxyhalogen) [1,12,13], or 4 by freezing the normally volatile reaction products of the silicon with the radicals at the trench walls [3,4].
  • the deposition of a halocarbon polymer film has the disadvantage that this film is thermally less stable than a growing inorganic siliconoxyhalogen film and the freezing of reaction products uses the expensive (cryogenic) coolers.
  • the resputtering of mask material is not acceptable because areas which should stay clean are also contaminated.
  • the passivating film is very thin the incoming ions should not be highly energetic, so the selectivity will be very high and the substrate damage will be low. Also, because of the low energy of the ions, trenching and faceting are not found and it is very easy to change the direction of the impinging ions thus changing the etched profile.
  • a major problem during etching silicon vertically is the forming of "grass" on the silicon surface, because of all kinds of micromasks deposited or grown on the silicon.
  • low pressure oxygen plasmas are' used for etching polymers.
  • such a plasma creates a high d.c. self-bias voltage which is responsible for substrate- and mask-damage.
  • etching a polymer in low pressure RIE identical grass problems are observed as in the case of etching silicon.
  • Etching rates and profiles are observed to depend on feature size (i.e. aspect ratio dependent etching: ARDE) and pattern density (i.e. microloading) for Si, SiO 2 , polymers, metals and group III-V elements also referred to as microscopic non-uniformities [15].
  • feature size i.e. aspect ratio dependent etching: ARDE
  • pattern density i.e. microloading
  • MEMS electronic mechanical systems
  • RIE Reactive Ion Etching
  • RIE reactive ion etching
  • a third object ⁇ f the present invention is to achieve highly controllable trench profiles by means of standard RIE.
  • a next object of the present invention is to achieve high etch rates with good uniformity over the wafer.
  • a further object of the invention is to use high pressure RIE (> 50 mTorr) during the trench etching in order to obtain very low d.c. self-bias voltages (down to 10 V) in order to prevent electronics already in the substrate to be damaged. Due to the low bias voltage it is easy to bend the incoming ions to the sidewalls in order to create all kinds of profiles.
  • the ion energy is between 10 en 90 eV, preferably between 10 and 50, particularly between 10 and 20 eV. Such low voltages are unique for relative simple RIE equipments. As a further important advantage of the low ion energy, the very high mask selectivity is mentioned. So only very thin metal layers ( ⁇ 50 nm) will suffice to etch deep trenches.
  • a still further object of the invention is to add CHF 3 or another halocarbon in to the SF g 0 2 plasma to prevent the forming of grass to get very smooth sidewalls and bottoms. At the same time it is possible to control the trench profile very easy and accurate with this halocarbon adding.
  • Another object of the present invention is to use the Black Silicon Method together with the profiles and d.c. bias voltage diagrams. The diagrams include the influence of the oxygen and CHF 3 content and the influence of the power and pressure on the trench profile and d.c. bias voltage. Herein, the formation of "grass" is used to find the desired profile.
  • Yet another object is to prevent ARDE effects such as RIE lag and sidewall bowing by varying the gas mixture while using the BSM.
  • the tip radius is smaller than 5 nm while using an insulating mask for the tip mask [17].
  • the one-run multi-step process proposed according to the invention is a more sophisticated dry release technique able to extend the limits of microtechnology.
  • a MEM comb-driven xy-stage is given.
  • very deep trenches up to 200 ⁇ m
  • high aspect ratios of about 10 or higher
  • silicon and polymers can be etched using a fluorine-based plasma, such as SF 6 0 2 /CHF 3 .
  • Isotropic, positively and negatively (i.e. reverse) tapered as well as tully vertical walls with smooth surfaces are achieved in silicon or in polymers by controlling the plasma chemistry, which is independent of crystal orientation and doping.
  • a convenient way to find the processing conditions needed for a vertical wall is described: the Black Silicon Method. This new procedure is checked for three different Reactive Ion
  • MEMS can be manufactured in a on-run multi-step dry REE process which uses e.g. commercially available silicon on insulator (SOI) wafers.
  • SOI silicon on insulator
  • the process comprises, after step b), the step of: c) etching the floor of the primary microstructure using said first silicon etch gas.
  • the process according to the invention further comprises the step of: e) depositing a halocarbon film on the surface of the final microstructure.
  • each gas has a specific function and influence, so the etched profile is easily controlled just by changing the flow rate of one of these gases.
  • SF 6 produces the F* radicals for the chemical etching of the silicon forming the volatile SiF 4
  • O 2 creates the O* radicals to passivate the silicon surface with SiO ⁇ F
  • CHF 3 (or another halocarbon) is the source for the CF ⁇ + (or other halocarbon) ions which etch the SiO ⁇ F layer in one direction forming the volatile CO ⁇ F y .
  • SF X + ions are also able to remove the oxyfluoride by way of the volatile SO ⁇ F gases, but the SF 6 flow is fixed on the 0 2 flow to ensure a vertical wall.
  • the CHF 3 gas is a nearly independent source of oxyfluoride etching ions.
  • the SF 6 O 2 /CHF 3 chemistry allows etching of highly controllable profiles in silicon at very low ion energies (10-90eV) and high etch rates (up to 5 ⁇ m/min).
  • the low ion energy prevents substrate damage (electronics), mask erosion (the selectivity to metal masks is practically infinite), and makes it easy to change the profile of the trench.
  • the ion energy is ruled by the potential which is developed between the plasma and the powered electrode; the d.c. self-bias.
  • the d.c. self-bias decreases when the power decreases or the pressure increases.
  • the pressure may be e.g.
  • SF 6 /O 2 /CHF 3 plasma is described here as suitable for the process of the invention, the process also works with other silicon etch gases e.g. CF , NF 3 , SiF 4 , CF 3 Br, CC1 4 or Cl 2 .
  • silicon etch gases e.g. CF , NF 3 , SiF 4 , CF 3 Br, CC1 4 or Cl 2 .
  • every plasma mixture which consists of a chemical etchant, a passivator and an ion source can be used, even when the substrate is not silicon, but e.g. a polymer.
  • trifluoromethane (CHF 3 ) can be used as a source of
  • CHal ⁇ * species examples include other halomethanes and haloethanes containing a plurality of fluorine and/or chlorine atoms, preferably fluorine atoms. If the halocarbon does not contain hydrogen atoms (such as CF 4 and C 2 F 6 ), it is preferred that hydrogen (H ⁇ is added.
  • the silicon loading of the substrate should be sufficiently high, e.g. at least 10%. If the substrate has a lower silicon loading, additional silicon may be added to the etching chamber in any form.
  • the present process can also be used for etching polymer structures such as polyimides, polycarbonates, polyacrylates (PMMA) and polystyrene.
  • THE BLACK SILICON METHOD A strong method is found to determine the vertical profile regime. This method uses the fact that the silicon is turned black when the vertical wall recipe is found. This method will be called the "Black Silicon Method". Before the Black Silicon Method is formulated below, the reason for this effect will be explained and a way to get rid of this blackening will be described. The origin of black silicon: As stated, there is a constant competition between the fluorine radicals that etch and the oxygen radicals that passivate the silicon. At a certain oxygen content there is such a balance between the etching and the passivation that a nearly vertical wall results.
  • spikes will appear.
  • These spikes consist of a silicon body with a thin passivating siliconoxyfluoride skin. They will become higher in time and, depending on the etch rate, they will exceed the wavelength of incoming light after some time. This light will be "caught” in the areas between the spikes and cannot leave the silicon surface any more. So, all the light is collected by the etching surface and it is turned into black. In fact, this optical diffuser could be used for all kinds of applications where the reflection of light from the surrounding is not desired, e.g. laser applications or sunlight collectors.
  • An etched silicon piece under directional conditions may result in spikes which are 50 ⁇ m in height and a few ⁇ m in width.
  • the origin of micromasks is caused by native oxide, dust, and so on, which is already on the wafer before etching. However, they are also formed during the etching because silicon oxide particles coming from the plasma are ad ⁇ sorbing at the silicon surface or because of the oxidation of the silicon surface together with the angle dependent ion etching of this oxide layer.
  • Another source of particles during etching which will act as micromasks is the resputtering of mask material due to imparting ions.
  • Preventing black silicon Spikes which are formed because of dirty wafers before etching are easily controlled by giving the wafer a precleaning step. For instance, native oxide can be removed with the help of an HF dip and dust is less a problem when using the lift-off technique in applying the mask layer, instead of the normally used chemical etching of the mask material with the help of a resist pattern.
  • the micromasks which originate during etching must be controlled in a different way. First of all, the resputtering of mask material can be suppressed when the ion energy is low or when the right materials are chosen.
  • the silicon oxide particles are less a problem when the selectivity between the silicon and the silicon oxide is minimised, but this only occurs when the incoming ions are highly energetic and at these moments the process is not favourable any more because of substrate damage and the just mentioned mask erosion.
  • it is possible to prevent spikes from forming by constantly underetching the micromasks isotropically or etching the features with a slightly negative undercut.
  • the isotropic solution makes only sense when it is used as a post etch, because otherwise the feature density is limited.
  • the negative underetching is an excellent way to control the smoothness of the substrate surface barely limiting the feature size density.
  • CHF 3 to an SF 6 /0 2 plasma is described and its ability to prevent grass.
  • Yet another approach to attack the grass problem is the application of different masks.
  • the Black Silicon Method is tested for three different REE systems. Most experiments are performed with a plan parallel plate reactor "plasmafab 340" from the STS company and a second plan parallel plate single wafer reactor “plasmathe ⁇ n 500" showed identical results.
  • a third system, the hexode "AME-8100" from Applied Materials, is used for the batch fabrication of silicon wafers and is also able to achieve vertical profiles.
  • the etch rates are approximately one order in magnitude lower than for the single wafer etchers and for this reason less powerful. This is because the wafers are much longer exposed to the aggressive plasma chemistry giving rise to surface roughening when etching very deep trenches in silicon. The etch rate can be increased by decreasing the reactor loading.
  • Sharp positively tapered silicon tips for AFM applications can be fabricated with the BSM in allowing a controllable underetching. It is possible to fabricate spikes having an aspect ratio of 50 or more and a tip radius smaller than 5 nm. To achieve such sharp tips a remarkable phenomena is used which occurs during the REE of these tips. When an insulating mask is used for the pattern transfer, this mask will slip over after the mask is completely underetched. This is caused by electrostatic forces which exist during the REE of silicon. This mask is protecting the sharp tip after that moment from incoming energetic ions, so overetching is not a big problem.
  • the Black Silicon Method was developed for silicon trench etching, but it is found that this method works for polymer trench etching as well. Although the appearance of a polymer surface after anisotropic etching is not black but rather diffuse, the mechanism is the same. For this reason a more general name for this method is chosen; the Black Substrate Method. THE BLACK SILICON METHOD (BSM) MULTI-STEP ONE-RUN To solve the problems connected with releasing the etched microstructures, a new technique has been developed which has the ability to etch, passivate, and release MEMS in one run. This technique, the so-called BSM multi-step one-run process, is developed on an Electrotech, Plasmafab 310-340 twin deposition/etch parallel-plate system operating at 13.56 MHz, but is not restricted to that system.
  • the technique starts with commercially available SOI (Silicon On Insulator) wafers. After the deposition of a 30 nm (lift-off) mask for the pattern definition, the movable structures can be fabricated in only one RIE run with four individual steps): 1) The (an)isotropic REE (SF f /O ⁇ /CHF ⁇ of the top Si, 2) the REE (CHF 3 ) of the insulator together with the passivation (C ⁇ F y film) of the sidewalls of the structures, 3) the REE (SF 6 /O 2 /CHF 3 ) of the floor, and 4) the REE (SF 6 ) of the bulk Si.
  • SOI Silicon On Insulator
  • the process can be finished with a conformal step coverage of a C ⁇ F film to protect the released structures from the environment [14].
  • these fluorocarbon (FC) films do have an extremely low surface tension and therefore they repel water and others. With this technique it is possible to release long thin Si beams successfully. Examples of the steps are summarised below:
  • SIMPLE Silicon Micromachining by single step PLasma
  • Anisotropic etching The profile has to be vertical with a little underetch making it possible to deposit a FC layer where no ion bombardment occurs i.e. under the "roof of the mask.
  • the profile can be adjusted by using the BSM method. Also RIE-lag can be suppressed by applying this method.
  • the etch process has to be stopped, to avoid unwanted under etching. This is a crucial step because when the SiO 2 is reached, the loading is decreasing causing a strong enhancement in lateral etching. The etching process is stopped by e.g. visual inspection.
  • FC is a function of e.g. pressure and self-bias. This layer is protection the sidewalls during isotropic etching.
  • power flux 0.3 W/cm 2
  • self-bias 40 V
  • pressure 75 mTorr
  • Isotropic etching Before starting the isotropic etching with an SF 6 plasma it is necessary to "clear" the floor of the trenches first with an oxygen-based plasma such as SF 6 O 2 /CHF 3 .
  • BSM SISI A disadvantage of the BSM SOI technique is that -after releasing the free hanging structures- deep trenches are found and the under etch rate is limited due to the relatively high Si loading.
  • SISI Silicon on Insulator on Silicon on Insulator
  • BSM SCS This technique is strongly correlated to the SCREAM process [9]. Differences are that the BSM SCS process is fluorine-based whereas the SCREAM process is chlorine-based and the passivation of the sidewalls is different. SCREAM uses Si0 2 which is deposited with a different apparatus and stress could be a notorious problem. BSM SCS uses "in-situ" deposited fluorocarbon (FC) to protect the sidewalls. FC has a low Youngs modulus of elasticity and therefore it does not suffer from stress effects like bending or buckling.
  • BSM EPI This technique is related to the SIMPLE process [16]. However, SIMPLE is chlorine-based whereas BSM EPI is fluorine-based. Advantages of fluorine over chlorine is the much higher under etch rate for fluorine-based plasmas. Moreover, the doping level is not restricted to highly doped arsenic (As) as a doping impurity.
  • the present invention is directed to a new and unique process for the fabrication of deep trenches in to a substrate using a non-toxic and non-hazardous, preferably fluorine-based mixture in an inexpensive "standard" reactive ion etcher with excellent profile control, high aspect ratio, high etch rates, good uniformity, high selectivity, low surface-damage and -roughening.
  • the process provides a significant advantage in the manufacture of deep trenches at very low d.c. bias voltages for their use in e.g. submicron transistor trench isolation, MEMS applications (e.g. electrostatic actuators or smart sensors), and the fabrication of cheap silicon or polymer-based moulds.
  • MEMS applications e.g. electrostatic actuators or smart sensors
  • the formation of grass can be used positively in sunlight collectors and anti-reflection coatings for e.g. laser applications.
  • the BSM multi-step one-run process is favourable for the releasing of MEMS with long thin beams. It includes the Black Silicon Method as an excellent tool for profile control and to suppress RIE-lag. Instead of SiO 2 , a thin metal (30 nm Cr) layer is used as a mask, which has an almost infinite selectivity with respect to Si and creates less additional stress problems (bending).
  • the fluorocarbon layer has a low Young's modulus which prevents stress problems in long thin beams (buckling).
  • the intermediate layer of SOI prevents the beam for hollowing out during the isotropic etch making an exact definition of the structure height possible.
  • Wafers which are purposely not cleaned or even oxidised in an oxygen plasma and etched in the Black Silicon Regime can be used as an optical diffuser for e.g. laser applications. It is possible to create spikes at well-defined locations in order to form a tip for the use in AFM applications.
  • FIG 1 a micromachined xy -stage is shown. The structure is etched during one run with standard REE. After the directional etching, the sidewalls are passivated using a low pressure CHF3 plasma and the xy stage is etched free with the help of an isotropic SF 6 plasma.
  • the structure is passivated with a fluorocarbon layer using a high pressure CHF 3 plasma [14].
  • CHF 3 plasma a high pressure CHF 3 plasma [14].
  • the Black Silicon Method is described for the SF 6 /O 2 /CHF 3 plasma, it will also works for other silicon etch gases e.g. CF 4 , NF 3 , SiF 4 , CF 3 Br, CC1 4 or Cl 2 .
  • every plasma mixture which consists of a chemical etchant, a passivator and an ion source can be used for the Black Silicon Method, even when the substrate is not silicon at all but e.g. a polymer. All together it is shown that the Black Silicon Method is a very strong tool for etching high structures with excellent profile control using an SF 6 /0 2 /CHF 3 plasma.
  • the black silicon method is a powerful tool in finding recipes for the fabrication of MEMS building blocks (trenches, needles) such as, scanning probe tips, multi-electrodes for neuroelectronic interfaces, micro filtration systems, shadow masks, suspensions for rigid disk data storage, micromoulds, submicron trenches for IC- applications, gratings for biomedical and optical applications, membrane structures for tunable ER filters, integration of sensors and actuators with Integrated-circuits and components for liquid handling systems (e.g. pumps valves)
  • MEMS building blocks such as, scanning probe tips, multi-electrodes for neuroelectronic interfaces, micro filtration systems, shadow masks, suspensions for rigid disk data storage, micromoulds, submicron trenches for IC- applications, gratings for biomedical and optical applications, membrane structures for tunable ER filters, integration of sensors and actuators with Integrated-circuits and components for liquid handling systems (e.g. pumps valves)
  • deep trenches can be etched in silicon or polymers using the SF 0 2 gas mixture to which CHF 3 or another halocarbon may be added. If desired, the silicon etch process is independent of crystal orientation and doping.
  • deep trenches can be etched in silicon or polymers with excellent profile control. Isotropic, positively and negatively (i.e. reverse) tapered as well as fully vertical walls are achieved by controlling the plasma chemistry (i.e the gas flows, the pressure, and the power density).
  • deep trenches can be etched in silicon or polymers with aspect ratios ranging of at least 10, or in case of polymers, up to 20 or even 30.
  • cryogenic cooling and/or new plasma sources such as Inductively Coupled Plasma (ICP)
  • ICP Inductively Coupled Plasma
  • Etch rates ranging up to 5 microns per minute and an etch uniformity better than 5%. over the wafer can be achieved.
  • deep trenches can be etched in silicon or polymers with mask selectivity greater than 10,000 or even greater than 100,000 for metals and greater than a thousand for silicon dioxide.
  • the deep trenches can be obtained in silicon or polymers with a surface roughness lower than 100 nm of trenches more than 100 microns deep.
  • Devices can also be passivated completely with a halocarbon polymer using a high pressure CHF 3 or other halocarbon plasma.
  • the deposition can be performed at the target plates (i.e. the powered electrode) of the REE, in the plasma glow, or downstream.
  • the properties of the deposited coating/polymer can be varied as desired.
  • Silicon sunlight collectors can be manufactured, wherein the surface etched is completely black as a result of the black silicon regime.
  • the black silicon absorbs all the incoming light, making a high efficiency sunlight collector.
  • Another use of the black silicon is as an anti-reflection coating in e.g. laser applications.
  • micromachined xy-stage is shown fabricated with the help of the BSM
  • FIG. 2 shows the essential steps of the present process.
  • deep submicron trench isolation for e.g. vertical transistors can be etched.
  • An important feature of the invention is the very low bias voltage which is needed to create these openings, and as a result, electronics are not damaged during the trench etching.
  • the present process also allows the production of moulds for duplication applications. For these applications slightly positively tapered moulds are needed with a low surface roughness. Release of the mould is made much easier by the deposit of an anti- sticking layer on top of the freshly etched silicon mould. After the filling and hardening of the duplication polymer in the silicon mould, the duplication polymer is released easily because of the low adhesion of the anti-sticking layer.
  • the anti-sticking layer can be deposited with e.g. the same RIE apparatus, using the halocarbon plasma described above.
  • Scanning probe tips, needles All kinds of tips can be created with profiles and radii on request for AFM, STM, MFM applications. Sharp positively tapered silicon tips for AFM applications can be fabricated with the BSM in allowing a controllable under etching. It is possible to fabricate spikes having an aspect ratio of 50 or more and a tip radius smaller than 5 nm. Changing the chemistry in a different direction (eg. more CHF3) creates negatively tapered profiles. These probes can be used for filter or MFM applications. The same approach can be used for fabrication of an array of needles for 3D neuro-electronic interface devices for neuromuscular control and also needles for injection of DNA into cells can be fabricated.
  • Microfiltration systems Micro filtration sieve membrane sieve for industrial and biomedical applications.(e.g inkjet filters for printers, blood filtration, beer filtration)) Shadow masks: For the fabrication of high resolution mask patterning in deep holes and its application to an electrical wafer feed through.
  • Suspensions for rigid disk storage media Silicon micromachined slider suspension with integrated friction forces sensors for rigid disk storage media.
  • Micromoulds A variety of mould inserts (in polymers, semiconductors, metals, insulators) can be fabricated, either for electroplating and/or for moulding and embossing processes. These mouldings can also be used for direct patterning in polymers. For instance for filter applications
  • Gratings Gratings with dimensions of 0.1 micron up to hundreds of microns for bio-medical applications and optical applications can be constructed.
  • Suhmicron structures Submicron trench etching for IC-applications (DRAM, SRAM devices).
  • the black silicon one run process is a powerful tool for the fabrication of movable structures for micro electromechanical systems (MEMS) using single crystalline silicon (SCS) substrates, polymer substrates, metal substrates (Ti) or multilayer substrates (e.g. SOI, BESOI, SIMOX, epiwafers with buried layers and SISI multilayer wafers).
  • SCS single crystalline silicon
  • Ti metal substrates
  • multilayer substrates e.g. SOI, BESOI, SIMOX, epiwafers with buried layers and SISI multilayer wafers.
  • electrostatically driven xy-stages for AFM, STM, MFM and XPS applications and stepping motors for high resolution positioning over large distance;
  • Other examples are accelerometers with displacement sensor, electrostatic voltameter, static friction sensor, impact test sensor, resonant microstructure, electromechanical filter, vibromotor optical shutter, tuning fork rate gyroscopes, electromechanical transistor, microgrippers, fibercutters, logic elements., micromotors, microturbines, robotics, active joints, microflies, microphones, microrelays, microswitches, and gas flow meters.
  • Starting wafer p- or n-type, e.g. 300 microns thick SCS, SOI or EPI.
  • a thin (e.g. 50 nm) metal layer e.g. Cr, Al, Ni, or Y
  • a thin metal layer e.g. Cr, Al, Ni, or Y
  • a pure SF 6 (or other fluorine-based) plasma is started, optionally mixed with nitrogen or oxygen to increase fluorine atom concentration in the plasma and thus the etch rate.
  • SF 6 or other fluorine-based plasma
  • nitrogen or oxygen to increase fluorine atom concentration in the plasma and thus the etch rate.
  • Figure 1 shows a micromachined xy stage etched withe help of the present Black
  • Step i deposition and patenting mask
  • step ⁇ anisotropic etching
  • step iii deposition PECVD oxide protection
  • step iv local reomval
  • FIG. 3 is diagram showing the influence of power, pressure and flow on the profile.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A process for producing etched micromechanical structures is provided, using Reactive Ion Etching (RIE), wherein a substrate is etched with a silicon etch gas mixture to obtain an aspect ratio of at least 10. The process comprises the steps of: a) anisotropic etching using a first silicon etch gas to obtain a primary microstructure; b) depositing a halocarbon film on the walls of the primary microstructure; d) isotropic etching using a second silicon etch gas, to obtain a final microstructure; said steps being carried out in a single run. Optional further steps are: c) etching the floor of the primary microstructure using said first silicon etch gas; and e) depositing a halocarbon film on the surface of the final microstructure. The process may involve applying high pressure (5-30 Pa) and low energy (10-90 eV), and preferably the use of a sulphur hexafluoride/oxygen/trifluoromethane plasma. The process can be controlled by monitoring the blackening of a silicon test surface as a function of varying the process parameters.

Description

PROCESS FOR PRODUCING MICROMECHANICAL STRUCTURES BY MEANS OF REACTIVE ION ETCHING
FIELD OF THE INVENTION
The invention relates to a process for producing micro(electro)mechanical structures in a substrate using standard Reactive Ion Etching (RIE), wherein the substrate is etched with a silicon etch gas mixture. The invention relates in particular to such a RIE process that can be carried out in a single run. INTRODUCTION
Profile control is important in microtechnology. Especially vertical walls are needed in order to obtain high feature densities. Most commonly, wet chemical etchants are used to create anisotropic profiles in silicon, because they are inexpensive and easy to use. However, the etched profile is controlled by the crystal orientation, so there is minor free¬ dom in etching different tapered profiles. Dry plasma etching is becoming a standard tool in microtechnology. Although the basic investments are much higher in dry etching, it is possible to etch controllable profiles without using the crystal orientation or doping. Plasma etching can be divided into three main groups; the physical ion beam etching (IBE), the synergetic reactive ion etching (REE), and the chemical plasma etching (PE). Generally, IBE shows only positively tapered profiles, low etch rates, and low selectivity, whereas PE gives rise to isotropic profiles, high etch rates, and high selectivity. In RLE it is possible to provide the plasma with a chemical etchant for the etching of the substrate, a passivator for blocking the etching at the sidewalls of a trench, and an ion source for the local removal of the passivation layer at the bottom of the etching trenches. When these processes are controlled in the correct manner, it is possible to create all kinds of trenches with excellent profile control, high etch rates and selectivity. RLE processes which use the deposition of a passivating film are called: ion-inhibitor RIE. When etching polymers, it is not necessary to passivate the trench sidewalls. In these cases the etching is possible because of ion bombardment. This is a typical reactive ion beam etching (RIBE) process, but can also be fulfilled at higher pressures with an RIE apparatus. RIE processes which use only the incoming ions are called; ion-induced RIE. To increase the etch rate, standard RIE is modified to create a higher density plasma [1-6], but these etchers are expensive and therefore less attractive. Normally, halogen-based plasmas are used for the chemical etching of silicon, because of their high etch rates [1-13]. Except for the fluorine-based plasmas, these gases are particularly hazardous (e.g. chlorine, bromine, and chlorinated compounds) and special precautions are recommended. The passivation layer can be grown: 1 from polymer precursors which are lead into the plasma [8-10], 2 by resputtering mask material [11], 3 by inserting gases which act as an oxidant (forming siliconoxyhalogen) [1,12,13], or 4 by freezing the normally volatile reaction products of the silicon with the radicals at the trench walls [3,4]. The deposition of a halocarbon polymer film has the disadvantage that this film is thermally less stable than a growing inorganic siliconoxyhalogen film and the freezing of reaction products uses the expensive (cryogenic) coolers. The resputtering of mask material is not acceptable because areas which should stay clean are also contaminated. Because the passivating film is very thin the incoming ions should not be highly energetic, so the selectivity will be very high and the substrate damage will be low. Also, because of the low energy of the ions, trenching and faceting are not found and it is very easy to change the direction of the impinging ions thus changing the etched profile. A major problem during etching silicon vertically is the forming of "grass" on the silicon surface, because of all kinds of micromasks deposited or grown on the silicon.
Commonly, low pressure oxygen plasmas are' used for etching polymers. However, such a plasma creates a high d.c. self-bias voltage which is responsible for substrate- and mask-damage. During etching a polymer in low pressure RIE identical grass problems are observed as in the case of etching silicon.
Etching rates and profiles are observed to depend on feature size (i.e. aspect ratio dependent etching: ARDE) and pattern density (i.e. microloading) for Si, SiO2, polymers, metals and group III-V elements also referred to as microscopic non-uniformities [15].
After etching micromechanical silicon structures, they often have to be released.
This is not straightforward and many techniques have been proposed. Frequently, in surface micromachining, an intermediate SiO2 layer of a silicon-on-insulator (SOI) wafer is used as a sacrificial layer which is etched using wet or vapour etchants. They are inexpensive but suffer from the so-called sticking problem, due to surface tension of liquids, and many solutions have been proposed to solve this problem [16]. In bulk micromachining some very useful dry plasma release techniques have been proposed [9,17]. Sticking is not found in dry etching, thus making this technique more reliable. The aim of this work is the production of high aspect ratio (depth/width) features for use in Micro Electro Mechanical Systems (MEMS), without the specific constraints that are always attached to the previously proposed release techniques - wet, vapour, and dry. DESCRIPTION OF THE INVENTION The main object of the invention is to provide a process for manufacturing micro-
(electro)mechanical systems (MEMS) in a single run by means of Reactive Ion Etching (RIE) using a halogen-based, especially a fluorine-based plasma and different types of substrate materials.
To achieve this it is another object of the present invention to provide deep trenches in to a substrate by means of reactive ion etching (RIE) using a fluorine-based plasma, not depending on crystal orientation and doping.
A third object υf the present invention is to achieve highly controllable trench profiles by means of standard RIE.
It is another object of the invention to provide deep trenches with high aspect ratio, especially of 10 or more.
A next object of the present invention is to achieve high etch rates with good uniformity over the wafer.
A further object of the invention is to use high pressure RIE (> 50 mTorr) during the trench etching in order to obtain very low d.c. self-bias voltages (down to 10 V) in order to prevent electronics already in the substrate to be damaged. Due to the low bias voltage it is easy to bend the incoming ions to the sidewalls in order to create all kinds of profiles. The ion energy is between 10 en 90 eV, preferably between 10 and 50, particularly between 10 and 20 eV. Such low voltages are unique for relative simple RIE equipments. As a further important advantage of the low ion energy, the very high mask selectivity is mentioned. So only very thin metal layers (< 50 nm) will suffice to etch deep trenches.
A still further object of the invention is to add CHF3 or another halocarbon in to the SFg 02 plasma to prevent the forming of grass to get very smooth sidewalls and bottoms. At the same time it is possible to control the trench profile very easy and accurate with this halocarbon adding. Another object of the present invention is to use the Black Silicon Method together with the profiles and d.c. bias voltage diagrams. The diagrams include the influence of the oxygen and CHF3 content and the influence of the power and pressure on the trench profile and d.c. bias voltage. Herein, the formation of "grass" is used to find the desired profile. Yet another object is to prevent ARDE effects such as RIE lag and sidewall bowing by varying the gas mixture while using the BSM.
As a further object of the invention, it is possible to provide extremely sharp tips for e.g. scanning tunnelling microscopy (STM). The tip radius is smaller than 5 nm while using an insulating mask for the tip mask [17].
The one-run multi-step process proposed according to the invention is a more sophisticated dry release technique able to extend the limits of microtechnology. As an example, a MEM comb-driven xy-stage is given.
According to the invention, very deep trenches (up to 200 μm) with high aspect ratios (of about 10 or higher) in silicon and polymers can be etched using a fluorine-based plasma, such as SF6 02/CHF3. Isotropic, positively and negatively (i.e. reverse) tapered as well as tully vertical walls with smooth surfaces are achieved in silicon or in polymers by controlling the plasma chemistry, which is independent of crystal orientation and doping. A convenient way to find the processing conditions needed for a vertical wall is described: the Black Silicon Method. This new procedure is checked for three different Reactive Ion
Etchers (RIE); two parallel plate reactors and a hexode. The influence of the r.f. power, pressure, and gas composition on the profile is shown. Micro Electro Mechanical Systems
(MEMS) can be manufactured in a on-run multi-step dry REE process which uses e.g. commercially available silicon on insulator (SOI) wafers. These objects are achieved by a process for producing micromechanical structures using Reactive Ion Etching (REE), wherein a substrate is etched with a silicon etch gas mixture, comprising the steps of: a) anisotropic etching using a first silicon etch gas to obtain a primary microstructure; b) depositing a halocarbon film on the walls of the primary microstructure; d) isotropic etching using a second silicon etch gas, to obtain a final microstructure; said steps being carried out in a single run.
Preferably, the process comprises, after step b), the step of: c) etching the floor of the primary microstructure using said first silicon etch gas. As an advantageous optional final step, the process according to the invention further comprises the step of: e) depositing a halocarbon film on the surface of the final microstructure.
THE SYNERGETIC MECHANISM OF SFJ O2/CHF3 PLASMAS
In an SFg/O CHFj plasma, each gas has a specific function and influence, so the etched profile is easily controlled just by changing the flow rate of one of these gases. In such a plasma SF6 produces the F* radicals for the chemical etching of the silicon forming the volatile SiF4, O2 creates the O* radicals to passivate the silicon surface with SiOχF , and CHF3 (or another halocarbon) is the source for the CFχ+ (or other halocarbon) ions which etch the SiOχF layer in one direction forming the volatile COχFy. Of course, SFX+ ions are also able to remove the oxyfluoride by way of the volatile SOχF gases, but the SF6 flow is fixed on the 02 flow to ensure a vertical wall. Thus, the CHF3 gas is a nearly independent source of oxyfluoride etching ions.
A more or less contrary mechanism can also explain the directional etching. In this mechanism the CFχ* species are passivating the silicon surface which are etched by way of imparting 0+ ions. However, this mechanism is less likely in the pressure regime used in υur siudy as will be clarified further on.
The SF6 O2/CHF3 chemistry allows etching of highly controllable profiles in silicon at very low ion energies (10-90eV) and high etch rates (up to 5 μm/min). The low ion energy prevents substrate damage (electronics), mask erosion (the selectivity to metal masks is practically infinite), and makes it easy to change the profile of the trench. The ion energy is ruled by the potential which is developed between the plasma and the powered electrode; the d.c. self-bias. The d.c. self-bias decreases when the power decreases or the pressure increases. The pressure may be e.g. from 25 to 250 mTorr (3.3 - 33.3 Pa), in particular from 50 to 200 mTorr (6.7 - 26.7 Pa). Gases like O2 and CHF3 create high bias voltages whereas SF6 gives rise to a very low voltage. Thus, when the oxygen flow is increased the d.c. self-bias also increases and ions will gain more energy before colliding with the substrate surface.
In etching silicon with the SFg O^CHF-j mixture there is a constant competition between the etching fluorine radicals and the passivating oxygen radicals. The etching is increased directionally by way of the CFχ+ ions. When the SF6 content is increased, the formation of the blocking layer is less pronounced and therefore the profile will be more isotropic (i.e. PE-like). Increasing the oxygen content will decrease the chemical etching and the etch mechanism will become less isotropic. At higher oxygen concentration the etching will become physical which results in positively tapered profiles (i.e. EBE-like). Increasing the CHF3 content will increase the removal of the blocking layer, thus making the profile less positively tapered. Moreover, the ions are charged positively, whereas the substrate is negatively biased and because of this mechanism, it is possible to create negatively (i.e. reverse) tapered profiles due to ion bowing. At higher CHF3 concentration CFχ specimens will scavenge the oxygen radicals, thus preventing the blocking layer to form, which results in a more isotropic profile. When the power, pressure, and flows are in the correct balance, vertical wall profiles result. Two less attractive effects may be observed here: 1 When the line spacing is wider, the trenches are deeper. This effect is known as "REE-lag". 2 The bigger areas have negatively tapered wall profiles because of ion bowing. These ARDE effects can be reduced by making the silicon trench walls more insulating-like and decreasing the d.c. bias voltage, thus increasing the passivator. To ensure a certain profile the ion flux has to be increased at the same time conform the BSM. A higher pressure or lower power results in a more positively tapered profile, because the energy of the impinging ions is lower (d.c. self-bias). In these cases, of-normal ions are more likely to reflect from the sidewalls without etching it. When the etching is performed in the isotropic or negatively tapered regime, thus at low oxygen, high CHF3 flow, low pressure, or high r.f. power, micromasks such as native oxide, dust, or resputtered mask material will be constantly underetched and etched surfaces are staying smooth preventing the forming of grass.
It is also possible to etch polymers, instead of silicon, with high etch rates and aspect ratios with the same SFg/Oj/CHFj plasma, but in these cases the specific function of each gas is different. Now, O2 creates the O* radicals to etch the polymer chemically, but this etching is highly temperature dependent. At temperatures near or above the polymer glass transition temperature, the etch profile is purely isotropic and the etch rate can be as high as 5 microns per minute. This process is normally fulfilled in so-called plasma ashing systems to strip resist after mask duplication. In order to create anisotropic profiles, it is necessary to block this thermo-chemical etching, so the substrate is cooled at room temperature (20 °C) and at the same time 0+ ions are used to etch the trench bottom. For this reason REE is used to direct the ions from the plasma glow region towards the substrate. Because of the highly anisotropic etch, grass will appear just as in the case of the REE of silicon. To prevent this formation of grass, again CHF3 is added resulting in nearly vertical and smooth surfaces. To lower the high d.c. bias voltage (thus increasing the mask selectivity) which is created during O CHF^ reactive ion etching SF6 and silicon is added giving very low bias voltages (down to 10 eV). The addition of CHF3 as well as SF6 is not influencing the polymer etch rate (up to 2 μm/min) more than 10%.
Although the SF6/O2/CHF3 plasma is described here as suitable for the process of the invention, the process also works with other silicon etch gases e.g. CF , NF3, SiF4, CF3Br, CC14 or Cl2. In fact, every plasma mixture which consists of a chemical etchant, a passivator and an ion source can be used, even when the substrate is not silicon, but e.g. a polymer. Instead of trifluoromethane (CHF3), other halocarbons can be used as a source of
CHalχ * species. Examples include other halomethanes and haloethanes containing a plurality of fluorine and/or chlorine atoms, preferably fluorine atoms. If the halocarbon does not contain hydrogen atoms (such as CF4 and C2F6), it is preferred that hydrogen (H^ is added. When the present process is used in etching silicon structures, the silicon loading of the substrate should be sufficiently high, e.g. at least 10%. If the substrate has a lower silicon loading, additional silicon may be added to the etching chamber in any form.
The present process can also be used for etching polymer structures such as polyimides, polycarbonates, polyacrylates (PMMA) and polystyrene. THE BLACK SILICON METHOD A strong method is found to determine the vertical profile regime. This method uses the fact that the silicon is turned black when the vertical wall recipe is found. This method will be called the "Black Silicon Method". Before the Black Silicon Method is formulated below, the reason for this effect will be explained and a way to get rid of this blackening will be described. The origin of black silicon: As stated, there is a constant competition between the fluorine radicals that etch and the oxygen radicals that passivate the silicon. At a certain oxygen content there is such a balance between the etching and the passivation that a nearly vertical wall results. At the same moment native oxide, dust, etcetera will act as micromasks and, because of the directional etching, spikes will appear. These spikes consist of a silicon body with a thin passivating siliconoxyfluoride skin. They will become higher in time and, depending on the etch rate, they will exceed the wavelength of incoming light after some time. This light will be "caught" in the areas between the spikes and cannot leave the silicon surface any more. So, all the light is collected by the etching surface and it is turned into black. In fact, this optical diffuser could be used for all kinds of applications where the reflection of light from the surrounding is not desired, e.g. laser applications or sunlight collectors. An etched silicon piece under directional conditions may result in spikes which are 50μm in height and a few μm in width. The origin of micromasks is caused by native oxide, dust, and so on, which is already on the wafer before etching. However, they are also formed during the etching because silicon oxide particles coming from the plasma are ad¬ sorbing at the silicon surface or because of the oxidation of the silicon surface together with the angle dependent ion etching of this oxide layer. Another source of particles during etching which will act as micromasks is the resputtering of mask material due to imparting ions.
Preventing black silicon: Spikes which are formed because of dirty wafers before etching are easily controlled by giving the wafer a precleaning step. For instance, native oxide can be removed with the help of an HF dip and dust is less a problem when using the lift-off technique in applying the mask layer, instead of the normally used chemical etching of the mask material with the help of a resist pattern. However, the micromasks which originate during etching must be controlled in a different way. First of all, the resputtering of mask material can be suppressed when the ion energy is low or when the right materials are chosen. The silicon oxide particles are less a problem when the selectivity between the silicon and the silicon oxide is minimised, but this only occurs when the incoming ions are highly energetic and at these moments the process is not favourable any more because of substrate damage and the just mentioned mask erosion. As already stated, it is possible to prevent spikes from forming by constantly underetching the micromasks isotropically or etching the features with a slightly negative undercut. The isotropic solution makes only sense when it is used as a post etch, because otherwise the feature density is limited. On the other hand, the negative underetching is an excellent way to control the smoothness of the substrate surface barely limiting the feature size density. In this study the addition of CHF3 to an SF6/02 plasma is described and its ability to prevent grass. Yet another approach to attack the grass problem is the application of different masks.
The Black Silicon Method: In this section, an easy way to find the vertical wall regime is described with the help of the information already given. A more or less general tool is reached in which the recipe for any REE system can be found just by fulfilling the sequence written down below. As can be concluded from point 3 of this sequence purely vertical walls can be achieved for any pressure, power, O2, CHF3, or SF6 flow. This is an important conclusion because now we are able to create any d.c. self-bias we want without changing the profile. For instance, it is possible to develop very low bias voltages (< 20 eV) at the higher pressures giving very high mask selectivity, maintaining the profile. In such cases the etched silicon bottom and the sidewalls are perfect. It is also observed in the diagram of figure 3 that a vertical wall profile is found for zero CHF3 flow. This means that the passivation with siliconoxyfluorides at the sidewalls is more likely than the passivation with a fluorocarbon layer, although it is still possible that at different pressure, loading, etcetera, the fluorocarbon layer is more pronounced. Also, the observation that increasing the oxygen flow gives rise to a more positively tapered profile is a strong indication that siliconoxyfiuoride is the sidewall passivator. Auger analysis showed that indeed the sidewalls are covered with silicon oxide; there is no carbonic species found.
The Black Silicon Method is tested for three different REE systems. Most experiments are performed with a plan parallel plate reactor "plasmafab 340" from the STS company and a second plan parallel plate single wafer reactor "plasmatheπn 500" showed identical results. A third system, the hexode "AME-8100" from Applied Materials, is used for the batch fabrication of silicon wafers and is also able to achieve vertical profiles. However, the etch rates are approximately one order in magnitude lower than for the single wafer etchers and for this reason less powerful. This is because the wafers are much longer exposed to the aggressive plasma chemistry giving rise to surface roughening when etching very deep trenches in silicon. The etch rate can be increased by decreasing the reactor loading. However, decreasing the exposed silicon surface area too much (typically less than 10% "open" silicon surface on the substrate wafer) will change the plasma chemistry (thus changing the profile) beyond a point where adjustment of the variables such as pressure, oxygen, flow, etc., can no longer prevent the underetching of the mask. Nevertheless, it is possible to insert some extra silicon in the reactor to ensure a minimal loading. A formulation of the Black Silicon Method:
1. Place a piece of silicon in the reactor and adjust the preferred power and pressure for an S,Ε^02 plasma. Etch ca. 1 micron of silicon, open the process chamber, and look if the silicon is black. If not, do the same again but increase the oxygen flow. Proceed with this sequence until the wafer is black. Increasing the oxygen too much, still will give rise to black, or better grey, silicon since there exists a positively tapered profile without any underetching. Alternatively, it is possible to sense the black silicon with the help of a laser/photodetector set-up.
2. After the black silicon regime is found add some CHF3 to the mixture and increase this flow until the wafer is clean again. Too much CHF3 will make the profiles isotropic (and smooth) because the CFχ species are scavenging the oxygen radicals which are needed for the blocking layer.
3. Now a wafer with the mask pattern of interest is inserted in the reactor and the etched profile is checked. If necessary, add some silicon into the reactor chamber until the exposed silicon area is the same as in step 1 and 2- Increasing the SF6 content will create an isotropic profile. Adding too much oxygen will make the profile positively tapered and extra CHF3 will make it more negatively tapered. Adding at the same time O2 and CHF3 with the correct balance will create very smooth and nearly vertical walls. Increasing the pressure or decreasing the power will make the profile more positively tapered. In figure 3 the influence of the O2/CHF3 flow and the pressure/power on the profile is given. Increasing at the same time the O2 and CHF3 flow or power, increasing the O2 flow while decreasing the pressure, decreasing the pressure and power or CHF3 flow and decreasing the CHF3 flow while increasing the power, will hardly change the profile. However, such an change will increase the d.c. self-bias and a higher d.c. self bias will give the of-normal ions enough energy to etch the sidewalls, thus changing the profile a little. Structure heights of 100 micron with an undercut of less than 1 micron are achieved. In order to reduce ARDE effects, increase the CHF3 and O2 flow at the same time while maintaining the tapering of the trench.
Sharp positively tapered silicon tips for AFM applications can be fabricated with the BSM in allowing a controllable underetching. It is possible to fabricate spikes having an aspect ratio of 50 or more and a tip radius smaller than 5 nm. To achieve such sharp tips a remarkable phenomena is used which occurs during the REE of these tips. When an insulating mask is used for the pattern transfer, this mask will slip over after the mask is completely underetched. This is caused by electrostatic forces which exist during the REE of silicon. This mask is protecting the sharp tip after that moment from incoming energetic ions, so overetching is not a big problem.
The Black Silicon Method was developed for silicon trench etching, but it is found that this method works for polymer trench etching as well. Although the appearance of a polymer surface after anisotropic etching is not black but rather diffuse, the mechanism is the same. For this reason a more general name for this method is chosen; the Black Substrate Method. THE BLACK SILICON METHOD (BSM) MULTI-STEP ONE-RUN To solve the problems connected with releasing the etched microstructures, a new technique has been developed which has the ability to etch, passivate, and release MEMS in one run. This technique, the so-called BSM multi-step one-run process, is developed on an Electrotech, Plasmafab 310-340 twin deposition/etch parallel-plate system operating at 13.56 MHz, but is not restricted to that system.
The technique starts with commercially available SOI (Silicon On Insulator) wafers. After the deposition of a 30 nm (lift-off) mask for the pattern definition, the movable structures can be fabricated in only one RIE run with four individual steps): 1) The (an)isotropic REE (SFf/O^/CHF^ of the top Si, 2) the REE (CHF3) of the insulator together with the passivation (CχFy film) of the sidewalls of the structures, 3) the REE (SF6/O2/CHF3) of the floor, and 4) the REE (SF6) of the bulk Si. The process can be finished with a conformal step coverage of a CχF film to protect the released structures from the environment [14]. For instance, these fluorocarbon (FC) films do have an extremely low surface tension and therefore they repel water and others. With this technique it is possible to release long thin Si beams successfully. Examples of the steps are summarised below:
Process step Description Etch/deposit rate
1. Photolithography Shipley 1805 500 nm
2. Metal deposition E-beam: Cr 25 nm 6 nm/min
3. Lift-off Acetone
4. Trench etching sFg/o cm^ 0.5-1 μm/min
5. Wall passivation 20 nm/min
Oxide etching 50 nm/min
6. Floor etching 1 min
7. Releasing 0.5-1 μm/min
8. FC deposition
Figure imgf000013_0001
20 nm/min
In practice, xy-stages, micro grippers, springs etc. with typical dimensions listed in table A were produced and compared with the SCREAM (Single Crystal Reactive Etching
And Metallisation) [9] and SIMPLE (Silicon Micromachining by single step PLasma
Etching) [16] processes. As can be seen, the only limiting step is the aspect ratio of trenches and beams. T ιbJe_A Typical dimensions of BSM one-run process
Beam structure SCREAM SIMPLE Invention
Height (μm) < 20 < 4 < 400
Width (μm) < 5 < 4 < 50
Length (μm) < 2000 < 2000 < 2001
Lateral gap (μm) > 1 > 3 > 1
Aspect ratio beam < 10 < 10 < 50
Aspect ratio trench < 7 < 7 < 10
There are some important remarks to be considered in the BSM technique i.e.: 1)
Anisotropic etching: The profile has to be vertical with a little underetch making it possible to deposit a FC layer where no ion bombardment occurs i.e. under the "roof of the mask. The profile can be adjusted by using the BSM method. Also RIE-lag can be suppressed by applying this method. When the intermediate insulator of the SOI wafer is reached, the etch process has to be stopped, to avoid unwanted under etching. This is a crucial step because when the SiO2 is reached, the loading is decreasing causing a strong enhancement in lateral etching. The etching process is stopped by e.g. visual inspection. Typical parameters during etching are SF6/02/CHF3 gas flow = 30/10/7 seem, power flux = 0.3 W/cm2, self-bias = 40 V, pressure = 75 mTorr, 3 inch Si loading, and target temperature=10 °C. 2) Sidewall passivation: The deposition of FC is a function of e.g. pressure and self-bias. This layer is protection the sidewalls during isotropic etching. We observed a satisfying coverage of the sidewalls directly under the mask roofs at pressure=20 mTorr, power flux=0.3 W/cm2, self- bias=600 V, and CHF3 gas flow = 10 seem. A typical deposition rate for this process is 20 nm/min. Simultaneously, during this step the insulator is etched at a speed of ca. 50 nm/min. 3) Isotropic etching: Before starting the isotropic etching with an SF6 plasma it is necessary to "clear" the floor of the trenches first with an oxygen-based plasma such as SF6 O2/CHF3.
Some remarks about BESOI are: When a relative thick intermediate layer (>0. um
S1O2) is used, bending of the beam structure may occur due to compressive stress in the oxide layer. Another problem might be differences in stress between the two bonded silicon wafers, which may introduce bending buckling of beams.
BSM SISI: A disadvantage of the BSM SOI technique is that -after releasing the free hanging structures- deep trenches are found and the under etch rate is limited due to the relatively high Si loading. To eliminate this problem we constructed a Silicon on Insulator on Silicon on Insulator (SISI) wafer. Now, a Si layer of (1-2 μm) is used as a sacrificial layer surrounded by two insulators. The deepest lying insulator protects the Si during releasing resulting in a smooth/flat bottom and a small loading thus high lateral etch rate (>lμm min).
BSM SCS: This technique is strongly correlated to the SCREAM process [9]. Differences are that the BSM SCS process is fluorine-based whereas the SCREAM process is chlorine-based and the passivation of the sidewalls is different. SCREAM uses Si02 which is deposited with a different apparatus and stress could be a notorious problem. BSM SCS uses "in-situ" deposited fluorocarbon (FC) to protect the sidewalls. FC has a low Youngs modulus of elasticity and therefore it does not suffer from stress effects like bending or buckling.
BSM EPI: This technique is related to the SIMPLE process [16]. However, SIMPLE is chlorine-based whereas BSM EPI is fluorine-based. Advantages of fluorine over chlorine is the much higher under etch rate for fluorine-based plasmas. Moreover, the doping level is not restricted to highly doped arsenic (As) as a doping impurity. APPLICATIONS AND CONCLUSIONS
Briefly, the present invention is directed to a new and unique process for the fabrication of deep trenches in to a substrate using a non-toxic and non-hazardous, preferably fluorine-based mixture in an inexpensive "standard" reactive ion etcher with excellent profile control, high aspect ratio, high etch rates, good uniformity, high selectivity, low surface-damage and -roughening. The process provides a significant advantage in the manufacture of deep trenches at very low d.c. bias voltages for their use in e.g. submicron transistor trench isolation, MEMS applications (e.g. electrostatic actuators or smart sensors), and the fabrication of cheap silicon or polymer-based moulds. The formation of grass can be used positively in sunlight collectors and anti-reflection coatings for e.g. laser applications.
It can be stated that the BSM multi-step one-run process is favourable for the releasing of MEMS with long thin beams. It includes the Black Silicon Method as an excellent tool for profile control and to suppress RIE-lag. Instead of SiO2, a thin metal (30 nm Cr) layer is used as a mask, which has an almost infinite selectivity with respect to Si and creates less additional stress problems (bending). The fluorocarbon layer has a low Young's modulus which prevents stress problems in long thin beams (buckling). The intermediate layer of SOI prevents the beam for hollowing out during the isotropic etch making an exact definition of the structure height possible. After the mask is deposited it is now possible to fabricate very quickly, accurate, and at low cost free-hanging MEMS (e.g. an accelerometer, tuneable spring filter, AM FM modulator, or micromechanical transistor) in one process run with a REE plasma without turning the plasma of.
Wafers which are purposely not cleaned or even oxidised in an oxygen plasma and etched in the Black Silicon Regime can be used as an optical diffuser for e.g. laser applications. It is possible to create spikes at well-defined locations in order to form a tip for the use in AFM applications. In our study we are mainly interested in the use of the Black Silicon Method for MEMS applications. In figure 1, a micromachined xy -stage is shown. The structure is etched during one run with standard REE. After the directional etching, the sidewalls are passivated using a low pressure CHF3 plasma and the xy stage is etched free with the help of an isotropic SF6 plasma. In the same run the structure is passivated with a fluorocarbon layer using a high pressure CHF3 plasma [14]. Although the Black Silicon Method is described for the SF6/O2/CHF3 plasma, it will also works for other silicon etch gases e.g. CF4, NF3, SiF4, CF3Br, CC14 or Cl2. In fact, every plasma mixture which consists of a chemical etchant, a passivator and an ion source can be used for the Black Silicon Method, even when the substrate is not silicon at all but e.g. a polymer. All together it is shown that the Black Silicon Method is a very strong tool for etching high structures with excellent profile control using an SF6/02/CHF3 plasma.
The black silicon method (BSM) is a powerful tool in finding recipes for the fabrication of MEMS building blocks (trenches, needles) such as, scanning probe tips, multi-electrodes for neuroelectronic interfaces, micro filtration systems, shadow masks, suspensions for rigid disk data storage, micromoulds, submicron trenches for IC- applications, gratings for biomedical and optical applications, membrane structures for tunable ER filters, integration of sensors and actuators with Integrated-circuits and components for liquid handling systems (e.g. pumps valves)
The following specific advantages and applications are contemplated:
Using the above-mentioned process, deep trenches can be etched in silicon or polymers using the SF 02 gas mixture to which CHF3 or another halocarbon may be added. If desired, the silicon etch process is independent of crystal orientation and doping.
Also, deep trenches can be etched in silicon or polymers with excellent profile control. Isotropic, positively and negatively (i.e. reverse) tapered as well as fully vertical walls are achieved by controlling the plasma chemistry (i.e the gas flows, the pressure, and the power density).
Furthermore, deep trenches can be etched in silicon or polymers with aspect ratios ranging of at least 10, or in case of polymers, up to 20 or even 30. When using cryogenic cooling and/or new plasma sources, such as Inductively Coupled Plasma (ICP), an aspect ratio of 20 or higher can be obtained in silicon. Etch rates ranging up to 5 microns per minute and an etch uniformity better than 5%. over the wafer can be achieved.
Also, deep trenches can be etched in silicon or polymers with mask selectivity greater than 10,000 or even greater than 100,000 for metals and greater than a thousand for silicon dioxide.
The deep trenches can be obtained in silicon or polymers with a surface roughness lower than 100 nm of trenches more than 100 microns deep.
After deep trench etching one may prefer to passivate the sidewalls by means of e.g. the same REE apparatus with a halocarbon coating using a low pressure CHF3 plasma or another halocarbon plasma.
Devices can also be passivated completely with a halocarbon polymer using a high pressure CHF3 or other halocarbon plasma. The deposition can be performed at the target plates (i.e. the powered electrode) of the REE, in the plasma glow, or downstream. As a result of this variation in ion and/or photon impact, the properties of the deposited coating/polymer can be varied as desired.
Devices can also be made using the formation of grass. Silicon sunlight collectors can be manufactured, wherein the surface etched is completely black as a result of the black silicon regime. The black silicon absorbs all the incoming light, making a high efficiency sunlight collector. Another use of the black silicon is as an anti-reflection coating in e.g. laser applications.
Using the present method, devices for electro-mechanical transduction can be etched, also called Micro Electro-Mechanical Systems (MEMS). Especially, the high aspect ratios obtainable by the present process allow submicron spaced capacitor plates to be etched. In figure 1 a micromachined xy-stage is shown fabricated with the help of the BSM
SCS technique. Figure 2 shows the essential steps of the present process.
Also, deep submicron trench isolation for e.g. vertical transistors can be etched. An important feature of the invention is the very low bias voltage which is needed to create these openings, and as a result, electronics are not damaged during the trench etching.
The present process also allows the production of moulds for duplication applications. For these applications slightly positively tapered moulds are needed with a low surface roughness. Release of the mould is made much easier by the deposit of an anti- sticking layer on top of the freshly etched silicon mould. After the filling and hardening of the duplication polymer in the silicon mould, the duplication polymer is released easily because of the low adhesion of the anti-sticking layer. The anti-sticking layer can be deposited with e.g. the same RIE apparatus, using the halocarbon plasma described above.
Scanning probe tips, needles: All kinds of tips can be created with profiles and radii on request for AFM, STM, MFM applications. Sharp positively tapered silicon tips for AFM applications can be fabricated with the BSM in allowing a controllable under etching. It is possible to fabricate spikes having an aspect ratio of 50 or more and a tip radius smaller than 5 nm. Changing the chemistry in a different direction (eg. more CHF3) creates negatively tapered profiles. These probes can be used for filter or MFM applications. The same approach can be used for fabrication of an array of needles for 3D neuro-electronic interface devices for neuromuscular control and also needles for injection of DNA into cells can be fabricated.
Microfiltration systems: Micro filtration sieve membrane sieve for industrial and biomedical applications.(e.g inkjet filters for printers, blood filtration, beer filtration)) Shadow masks: For the fabrication of high resolution mask patterning in deep holes and its application to an electrical wafer feed through.
Suspensions for rigid disk storage media: Silicon micromachined slider suspension with integrated friction forces sensors for rigid disk storage media.
Micromoulds: A variety of mould inserts (in polymers, semiconductors, metals, insulators) can be fabricated, either for electroplating and/or for moulding and embossing processes. These mouldings can also be used for direct patterning in polymers. For instance for filter applications
Gratings: Gratings with dimensions of 0.1 micron up to hundreds of microns for bio-medical applications and optical applications can be constructed. Suhmicron structures: Submicron trench etching for IC-applications (DRAM, SRAM devices).
The black silicon one run process is a powerful tool for the fabrication of movable structures for micro electromechanical systems (MEMS) using single crystalline silicon (SCS) substrates, polymer substrates, metal substrates (Ti) or multilayer substrates (e.g. SOI, BESOI, SIMOX, epiwafers with buried layers and SISI multilayer wafers). With these technique all kind of movable structures (devices) can be constructed. For instance electrostatically driven xy-stages for AFM, STM, MFM and XPS applications and stepping motors for high resolution positioning over large distance; Other examples are accelerometers with displacement sensor, electrostatic voltameter, static friction sensor, impact test sensor, resonant microstructure, electromechanical filter, vibromotor optical shutter, tuning fork rate gyroscopes, electromechanical transistor, microgrippers, fibercutters, logic elements., micromotors, microturbines, robotics, active joints, microflies, microphones, microrelays, microswitches, and gas flow meters.
Exampk
Starting wafer: p- or n-type, e.g. 300 microns thick SCS, SOI or EPI.
1) Spin-on resist and pattern it with the mask lay-out of interest.
2) Deposit a thin (e.g. 50 nm) metal layer (e.g. Cr, Al, Ni, or Y) by means of evaporation, sputtering, or other suitable deposition methods.
3) Remove the resist with e.g. acetone in order to remove the metal mask locally (also known as the lift-off technique). Eventually, one may decide to deposit the metal layer first and then the resist, after which the pattern of interest is etched in the metal layer using the patterned resist as a mask. 4) Etch the silicon or polymer by means of reactive ion etching (REE) using an SF6/02/CHF3 gas mixture with typical gas flows ranging up to 200 seem SF6, up to 100 seem 02, and up to 100 seem CHF3, power densities ranging up to 2 W/cm*cm, and pressures ranging up to 200 mTorr (26.7 Pa). The addition of CHF3 is not essential for the high aspect ratios and may be omitted. For many applications already formulated these four steps are sufficient. For releasing structures, however, additional steps are necessary:
5) A fluorocarbon film is deposited to protect the sidewalls during isotropic etching (the releasing step 8) using the same REE reactor ant without breaking the vacuum, Typical plasma setting: Pressure = 20 mTorr, power reflux 0.3 W/cm2 and CHF3 flow = 10 seem. A layer of approximately 100 nm is deposited in 5 min. Simultaneously, for SOI wafers, the insulator is etched during this step at a speed of about 50 nm/min.
6) Before starting the isotropic etching, it is necessary to clear the floor of the trenches with a short SF6 02/CHF3 plasma or the like.
7) To release the structures, a pure SF6 (or other fluorine-based) plasma is started, optionally mixed with nitrogen or oxygen to increase fluorine atom concentration in the plasma and thus the etch rate. 8) Before breaking the vacuum and testing the devices, it is possible to protect the devices from moisture or dust by way of a confoπnal fluorocarbon layer.
DESCRIPTION OF THE FIGURES
Figure 1 shows a micromachined xy stage etched withe help of the present Black
Silicon Method in an SF6/O2/CHF3 plasma. Figure 2 shows the various steps of (a) SCREAM-SCS [ref. 9], (b) SIMPLE-EPI
[ref. 17] and (c) the present method (BSM-SOI). Step i: deposition and patenting mask; step ϋ: anisotropic etching; step iii: deposition PECVD oxide protection; step iv: local reomval
PECVD oxide / FC deposition; step v: isotropic etching; step vi: deposition metal contacts. Figure 3 is diagram showing the influence of power, pressure and flow on the profile.
REFERENCES
[1] C.P.DΕmic, K.K.Chan, J.Blum, Deep trench plasma etching of C.Si using SF6/02 gas mixtures, J.Vac.Sci.T. B 10(3), 1992, 1105-1110.
[2] G-Y.Yeom, Y.Ono, T.Yamaguchi, PolySi etchback plasma process using HBr, C12, and SF6 gas mixtures for deep-trench isolation, J.Hec.Soc., Vol.139, No.2, 1992, 575-579.
[3] R.Petri, J-M.Francou, A.Inard, D.Henry, Temperature effects in a RIPE reactor,
Microelectronic Engineering 13, 1991, 459-462.
[4] T.Tada, T.Kanayama, Fabrication of Si nanostructures with electron-beam lithography using AIN as a dry-etch durable resist, J.VacSci.T. B 11(6), 1993, 2229-2232. [5] C.Pomot, B.Mahi, B.Petit, Y-Arnal, J.Pelletier, Anisotropic etching of Si using an SF6/Ar microwave multipolar plasma, J.Vac.Sci.T. B 4(1), 1986, 1-5.
[6] AJ.Perry, R.W.Boswell, Fast anisotropic etching of Si in an 1CP reactor,
Appl.Phys.Lett. 55(2), 1989, 148-150.
[7] H.F.Winters, J.W.Coburn, T .Chuang, Surface processes in plasma-assisted etching environments, J.Vac.Sci.T. B 1(2), 1983, 469-480.
[8] A.M.Krings, K.Eden, H.Beneking, RIE etching of deep trenches in Si using CBrF3 and
SF6 plasma, Microelec. Eng. 6,1987, 553-558.
[9] A.Shaw, Z.L.Zhang, N.CMacDonald, SCREAM I: A single mask, SCSi, RIE process for MEMS, Sens. & Act. A, 40, 1994, 63-70; US-A-5,198,390.
[10] VA.Yunkin, D.Fischer, E.Voges, Highly anisotropic selective RIE of deep trenches in
Si, Microelec. Eng., 23, 1994, 373-376.
[11] J.Gobrecht, Anisotropy enhancement by sidewall protection using an Al-mask in high rate SF6 based RIE processes.
[12] T.Syau, B .Baliga, R.W.Hamaker, RIE of Si trenches using SF6/02 gas mixtures,
J.EleαSoc., Vol.138, No.10, 1991, 3076-3081.
[13] M.Zhang, J.Z.Li, ED.Wolf, RIE for submicron structures of refractory metal silicides and polymers, J.Vac.Sci.T. B 1(4), 1983, 1037-1042. [14] H.V ansen, J.G.E.Gardeniers, J.Elders, HΛ.C.Tilmans, M.Elwenspoek, Applications of fluorocarbon polymers in micromechanics and micromachining, Sens.& Act. A, 41-42,
1994, 136-140
[15] H.VJansen, M.de Boer, J.Burger, R.Legtenberg, M.Elwenspoek, The black silicon method II: The effect of mask material and loading on the reactive ion etching of deep silicon trenches, Microelectronic Engineering 27 (1995) 475.
[16] Y.Li et al. Proc. EEEE MEMS (1995) 398.
[17] H.V.Jansen, M.de Boer, B.Otter, M.Elwenspoek, The black silicon method TV: The fabrication of three-dimensional structures in silicon with high aspect ratios for scanning probe microscopy and other applications, Proc. EEEE MEMS (1995) 488.

Claims

1. A process for producing micromechanical structures using Reactive Ion Etching
(REE), wherein a substrate is etched with a silicon etch gas mixture, characterised in that etching is performed until an aspect ratio of at least 10 is obtained.
2. A process according to claim 1, wherein an aspect ratio of at least 20 is obtained.
3. A process for producing micromechanical structures using Reactive Ion Etching (REE), wherein a substrate is etched with a silicon etch gas mixture, comprising the steps of: a) anisotropic etching using a first silicon etch gas to obtain a primary microstructure; b) depositing a halocarbon film on the walls of the primary microstructure; d) isotropic etching using a second silicon etch gas, to obtain a final microstructure; said steps being carried out in a single run.
4. A process according to claim 3, further comprising the step of: c) etching the floor of the primary microstructure using said first silicon etch gas.
5. A process according to claim 3 or 4, wherein said first silicon etch gas in step a) comprises SF6, CF4, NF3, SiF , CF3Br, CC1 or Cl2, in particular SF6, and oxygen, optionally combined with a fluorohydrocarbon, in particular CHF3.
6. A process according to any one of claims 3-5, wherein said halocarbon film is deposited in step b) using a fluorocarbon, in particular CHF3.
7. A process according to any one of claims 3-6, wherein said second silicon etch gas comprises SF6.
8. A process according to any one of claims 3-7, further comprising the step of: e) depositing a halocarbon film on the surface of the final microstructure.
9. A process according to any one of the preceding claims, wherein an ion energy of between 10 and 90 eV, preferably between 10 and 50 eV, in particular between 10 and 20 eV, is applied.
10. A process according to any one of the preceding claims, wherein a pressure between 50 and 200 mTorr (6.7 - 26.7 Pa) is applied.
11. A process according to any one of the preceding claims, wherein said substrate is single crystal silicon (SCS), epitaxially grown silicon wafers (EPI), silicon on insulator on silicon on insulator wafers (SISI), silicon on metal, or especially silicon on insulator wafers (SOI).
12. A process for producing trenches in a substrate using standard Reactive Ion Etching
(REE), wherein, prior to the etching, a test substrate is treated with the silicon etch gas mixture, the process parameters including flows of the etch gases, pressure and ion energy, are adjusted until the treated surface of the test substrate is black, optionally a carbon- halogen compound such as CHF3 is then added to the etch gas mixture and its flow is ad- justed until the treated surface of the test substrate is clear again, and then the adjusted process parameters are used for the subsequent etching.
13. An etched silicon structure having a profile with an aspect ratio of at least 10, the structure being obtainable by a process according to any one of the preceding claims.
14. An etched silicon structure according to claim 13, which is a needle for e.g. STM applications.
15. An etched silicon structure according to claim 13, which is a tip haing a radius of less than 10 nm.
PCT/NL1995/000221 1994-09-02 1995-06-22 Process for producing micromechanical structures by means of reactive ion etching WO1996008036A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU26839/95A AU2683995A (en) 1994-09-02 1995-06-22 Process for producing micromechanical structures by means of reactive ion etching

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP94202519 1994-09-02
EP94202519.8 1994-09-02

Publications (1)

Publication Number Publication Date
WO1996008036A1 true WO1996008036A1 (en) 1996-03-14

Family

ID=8217159

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/NL1995/000221 WO1996008036A1 (en) 1994-09-02 1995-06-22 Process for producing micromechanical structures by means of reactive ion etching

Country Status (2)

Country Link
AU (1) AU2683995A (en)
WO (1) WO1996008036A1 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0879635A1 (en) * 1997-05-24 1998-11-25 Koninklijke Grolsch N.V. Device for filtering a fermented liquid
EP0964456A2 (en) * 1998-06-09 1999-12-15 Siemens Aktiengesellschaft Deep trench capacitor
WO2000016041A2 (en) * 1998-09-12 2000-03-23 The Secretary Of State For Defence Formation of suspended beams using soi substrates, and application to the fabrication of a vibrating gyrometer
WO2000017095A1 (en) * 1998-09-24 2000-03-30 Infineon Technologies Ag Control structure for producing hollow spaces and/or undercut zones in micromechanical and/or microelectronic components
DE19847455A1 (en) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Silicon multi-layer etching, especially for micromechanical sensor production, comprises etching trenches down to buried separation layer, etching exposed separation layer and etching underlying silicon layer
US6084257A (en) * 1995-05-24 2000-07-04 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
WO2001011666A2 (en) * 1999-08-11 2001-02-15 Adc Telecommunications, Inc. Method of etching a wafer layer using multiple layers of the same photoresistant material and structure formed thereby
US6316796B1 (en) 1995-05-24 2001-11-13 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
WO2002029858A2 (en) * 2000-09-29 2002-04-11 Infineon Technologies North America Corp. Deep trench etching method to reduce/eliminate formation of black silicon
EP1220010A2 (en) * 2000-12-29 2002-07-03 Texas Instruments Incorporated Micromechanical device recoat methods
WO2002062698A2 (en) * 2001-02-06 2002-08-15 Robert Bosch Gmbh Method for producing surface micromechanical structures, and sensor
EP1316993A1 (en) * 2001-11-29 2003-06-04 Denselight Semiconductors Pte Ltd. Differential etching of semiconductors
EP1325884A2 (en) * 2001-12-18 2003-07-09 Samsung Electronics Co., Ltd. MEMS structure having a blocked-sacrificial layer support/anchor and a fabrication method of the same
WO2004016547A1 (en) * 2002-08-02 2004-02-26 Robert Bosch Gmbh Method for the production of a micromechanical device, particularly a micromechanical oscillating mirror device
US6946362B2 (en) 2002-09-06 2005-09-20 Hewlett-Packard Development Company, L.P. Method and apparatus for forming high surface area material films and membranes
WO2007042521A2 (en) * 2005-10-10 2007-04-19 X-Fab Semiconductor Foundries Ag Production of self-organized pin-type nanostructures, and the rather extensive applications thereof
WO2007051313A1 (en) * 2005-11-07 2007-05-10 Fibics Incorporated Methods for performing circuit edit operations with low landing energy electron beams
EP1786027A2 (en) * 2005-11-14 2007-05-16 Schott AG Plasma etching of tapered structures
EP1827871A2 (en) * 2004-12-23 2007-09-05 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7709285B2 (en) 2003-10-31 2010-05-04 Epcos Ag Method of manufacturing a MEMS device and MEMS device
US8058086B2 (en) 2005-10-10 2011-11-15 X-Fab Semiconductor Foundries Ag Self-organized pin-type nanostructures, and production thereof on silicon
RU2648287C1 (en) * 2016-12-27 2018-03-23 Акционерное общество "Научно-исследовательский институт физических измерений" Method of manufacture of elastic elements of micromechanical sensors
RU2662499C1 (en) * 2017-09-01 2018-07-26 Общество ограниченной ответственности "Игла" Micro-mechanical elements from the mono-crystalline silicon plates manufacturing method
RU2695771C1 (en) * 2018-12-29 2019-07-25 Общество с ограниченной ответственностью "Игла" Method for manufacture of microneedle in integral version with internal channels
WO2021064070A1 (en) * 2019-10-04 2021-04-08 Photonik-Zentrum Kaiserslautern e.V. Structuring of a surface of an active optical material
CN112768348A (en) * 2021-01-18 2021-05-07 复旦大学 Optimization method for etching lithium niobate material and improving side wall angle
CN114879458A (en) * 2022-05-31 2022-08-09 上海稷以科技有限公司 Method for improving release efficiency of sacrificial layer of resonant cavity

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3627311A1 (en) * 1985-08-23 1987-02-26 Intel Corp METHOD FOR ETCHING SILICON
US4968585A (en) * 1989-06-20 1990-11-06 The Board Of Trustees Of The Leland Stanford Jr. University Microfabricated cantilever stylus with integrated conical tip
EP0413040A1 (en) * 1989-08-16 1991-02-20 International Business Machines Corporation Method of producing ultrafine silicon tips for the AFM/STM profilometry
US5198390A (en) * 1992-01-16 1993-03-30 Cornell Research Foundation, Inc. RIE process for fabricating submicron, silicon electromechanical structures
US5201992A (en) * 1990-07-12 1993-04-13 Bell Communications Research, Inc. Method for making tapered microminiature silicon structures
EP0536968A2 (en) * 1991-10-08 1993-04-14 Nec Corporation Process for forming contact holes in the fabrication of semi-conducteur devices
EP0565212A2 (en) * 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine etch process for silicon and silicides
US5268070A (en) * 1991-01-22 1993-12-07 Sony Corporation Dry etching method
DE4317623A1 (en) * 1993-05-27 1994-12-01 Bosch Gmbh Robert Process and apparatus for the anisotropic plasma etching of substrates

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3627311A1 (en) * 1985-08-23 1987-02-26 Intel Corp METHOD FOR ETCHING SILICON
EP0565212A2 (en) * 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine etch process for silicon and silicides
US4968585A (en) * 1989-06-20 1990-11-06 The Board Of Trustees Of The Leland Stanford Jr. University Microfabricated cantilever stylus with integrated conical tip
EP0413040A1 (en) * 1989-08-16 1991-02-20 International Business Machines Corporation Method of producing ultrafine silicon tips for the AFM/STM profilometry
US5201992A (en) * 1990-07-12 1993-04-13 Bell Communications Research, Inc. Method for making tapered microminiature silicon structures
US5268070A (en) * 1991-01-22 1993-12-07 Sony Corporation Dry etching method
EP0536968A2 (en) * 1991-10-08 1993-04-14 Nec Corporation Process for forming contact holes in the fabrication of semi-conducteur devices
US5198390A (en) * 1992-01-16 1993-03-30 Cornell Research Foundation, Inc. RIE process for fabricating submicron, silicon electromechanical structures
DE4317623A1 (en) * 1993-05-27 1994-12-01 Bosch Gmbh Robert Process and apparatus for the anisotropic plasma etching of substrates

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
DAVIS R J: "IMAGE POTENTIALS AND THE DRY ETCHING OF SUBMICRON TRENCHES WITH LOW -ENERGY IONS", APPLIED PHYSICS LETTERS, vol. 59, no. 14, 30 September 1991 (1991-09-30), pages 1717 - 1719, XP000250007 *
MASAYUKI SATO: "LOW DAMAGE MAGNETRON ENHANCED REACTIVE ION ETCHING", JAPANESE JOURNAL OF APPLIED PHYSICS, SUPPLEMENTS, 27 August 1991 (1991-08-27), pages 225 - 227, XP000279447 *
TSENGYOU SYAU ET AL: "REACTIVE ION ETCHING OF SILICON TRENCHES USING SF6/O2 GAS MIXTURES", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, vol. 138, no. 10, 1 October 1991 (1991-10-01), pages 3076 - 3081, XP000248018 *

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316796B1 (en) 1995-05-24 2001-11-13 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
US6084257A (en) * 1995-05-24 2000-07-04 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
NL1006118C2 (en) * 1997-05-24 1998-11-25 Koninkl Grolsch N V Device for filtering a fermented liquid.
EP0879635A1 (en) * 1997-05-24 1998-11-25 Koninklijke Grolsch N.V. Device for filtering a fermented liquid
EP0964456A3 (en) * 1998-06-09 2000-08-09 Siemens Aktiengesellschaft Deep trench capacitor
EP0964456A2 (en) * 1998-06-09 1999-12-15 Siemens Aktiengesellschaft Deep trench capacitor
US6103585A (en) * 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
US6276205B1 (en) 1998-09-12 2001-08-21 The Secretary Of State For Defence In Her Britanic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Micro-machining
WO2000016041A3 (en) * 1998-09-12 2000-09-28 Secr Defence Formation of suspended beams using soi substrates, and application to the fabrication of a vibrating gyrometer
EP1808672A3 (en) * 1998-09-12 2009-06-17 Qinetiq Limited Improvements relating to Micro-machining
WO2000016041A2 (en) * 1998-09-12 2000-03-23 The Secretary Of State For Defence Formation of suspended beams using soi substrates, and application to the fabrication of a vibrating gyrometer
WO2000017095A1 (en) * 1998-09-24 2000-03-30 Infineon Technologies Ag Control structure for producing hollow spaces and/or undercut zones in micromechanical and/or microelectronic components
DE19847455A1 (en) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Silicon multi-layer etching, especially for micromechanical sensor production, comprises etching trenches down to buried separation layer, etching exposed separation layer and etching underlying silicon layer
WO2001011666A2 (en) * 1999-08-11 2001-02-15 Adc Telecommunications, Inc. Method of etching a wafer layer using multiple layers of the same photoresistant material and structure formed thereby
WO2001011666A3 (en) * 1999-08-11 2001-08-16 Adc Telecommunications Inc Method of etching a wafer layer using multiple layers of the same photoresistant material and structure formed thereby
US6316282B1 (en) 1999-08-11 2001-11-13 Adc Telecommunications, Inc. Method of etching a wafer layer using multiple layers of the same photoresistant material
US6469361B2 (en) 1999-08-11 2002-10-22 Adc Telecommunications, Inc. Semiconductor wafer
WO2002029858A3 (en) * 2000-09-29 2003-02-13 Infineon Technologies Corp Deep trench etching method to reduce/eliminate formation of black silicon
WO2002029858A2 (en) * 2000-09-29 2002-04-11 Infineon Technologies North America Corp. Deep trench etching method to reduce/eliminate formation of black silicon
EP1220010A3 (en) * 2000-12-29 2004-10-27 Texas Instruments Incorporated Micromechanical device recoat methods
EP1220010A2 (en) * 2000-12-29 2002-07-03 Texas Instruments Incorporated Micromechanical device recoat methods
US7651734B2 (en) 2000-12-29 2010-01-26 Texas Instruments Incorporated Micromechanical device fabrication
WO2002062698A3 (en) * 2001-02-06 2003-07-17 Bosch Gmbh Robert Method for producing surface micromechanical structures, and sensor
WO2002062698A2 (en) * 2001-02-06 2002-08-15 Robert Bosch Gmbh Method for producing surface micromechanical structures, and sensor
US6867061B2 (en) 2001-02-06 2005-03-15 Robert Bosch Gmbh Method for producing surface micromechanical structures, and sensor
EP1316993A1 (en) * 2001-11-29 2003-06-04 Denselight Semiconductors Pte Ltd. Differential etching of semiconductors
EP1325884B1 (en) * 2001-12-18 2010-02-17 Samsung Electronics Co., Ltd. MEMS structure having a blocked-sacrificial layer support/anchor and a fabrication method of the same
EP1325884A2 (en) * 2001-12-18 2003-07-09 Samsung Electronics Co., Ltd. MEMS structure having a blocked-sacrificial layer support/anchor and a fabrication method of the same
WO2004016547A1 (en) * 2002-08-02 2004-02-26 Robert Bosch Gmbh Method for the production of a micromechanical device, particularly a micromechanical oscillating mirror device
US7261825B2 (en) 2002-08-02 2007-08-28 Robert Bosch Gmbh Method for the production of a micromechanical device, particularly a micromechanical oscillating mirror device
US6946362B2 (en) 2002-09-06 2005-09-20 Hewlett-Packard Development Company, L.P. Method and apparatus for forming high surface area material films and membranes
US7709285B2 (en) 2003-10-31 2010-05-04 Epcos Ag Method of manufacturing a MEMS device and MEMS device
EP1827871A2 (en) * 2004-12-23 2007-09-05 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
EP1827871A4 (en) * 2004-12-23 2009-09-16 Lam Res Corp Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
WO2007042521A2 (en) * 2005-10-10 2007-04-19 X-Fab Semiconductor Foundries Ag Production of self-organized pin-type nanostructures, and the rather extensive applications thereof
US8058086B2 (en) 2005-10-10 2011-11-15 X-Fab Semiconductor Foundries Ag Self-organized pin-type nanostructures, and production thereof on silicon
WO2007042521A3 (en) * 2005-10-10 2008-06-12 X Fab Semiconductor Foundries Production of self-organized pin-type nanostructures, and the rather extensive applications thereof
US8350209B2 (en) 2005-10-10 2013-01-08 X-Fab Semiconductor Foundries Ag Production of self-organized pin-type nanostructures, and the rather extensive applications thereof
US8466415B2 (en) 2005-11-07 2013-06-18 Fibics Incorporated Methods for performing circuit edit operations with low landing energy electron beams
WO2007051313A1 (en) * 2005-11-07 2007-05-10 Fibics Incorporated Methods for performing circuit edit operations with low landing energy electron beams
EP1786027A2 (en) * 2005-11-14 2007-05-16 Schott AG Plasma etching of tapered structures
EP1786027A3 (en) * 2005-11-14 2009-03-04 Schott AG Plasma etching of tapered structures
RU2648287C1 (en) * 2016-12-27 2018-03-23 Акционерное общество "Научно-исследовательский институт физических измерений" Method of manufacture of elastic elements of micromechanical sensors
RU2662499C1 (en) * 2017-09-01 2018-07-26 Общество ограниченной ответственности "Игла" Micro-mechanical elements from the mono-crystalline silicon plates manufacturing method
RU2695771C1 (en) * 2018-12-29 2019-07-25 Общество с ограниченной ответственностью "Игла" Method for manufacture of microneedle in integral version with internal channels
WO2021064070A1 (en) * 2019-10-04 2021-04-08 Photonik-Zentrum Kaiserslautern e.V. Structuring of a surface of an active optical material
CN112768348A (en) * 2021-01-18 2021-05-07 复旦大学 Optimization method for etching lithium niobate material and improving side wall angle
CN112768348B (en) * 2021-01-18 2022-05-20 复旦大学 Optimization method for etching lithium niobate material and improving side wall angle
CN114879458A (en) * 2022-05-31 2022-08-09 上海稷以科技有限公司 Method for improving release efficiency of sacrificial layer of resonant cavity

Also Published As

Publication number Publication date
AU2683995A (en) 1996-03-27

Similar Documents

Publication Publication Date Title
WO1996008036A1 (en) Process for producing micromechanical structures by means of reactive ion etching
Jansen et al. The black silicon method: a universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control
US6808953B2 (en) Gap tuning for surface micromachined structures in an epitaxial reactor
US7618548B2 (en) Silicon-containing structure with deep etched features, and method of manufacture
Rangelow Dry etching-based silicon micro-machining for MEMS
Raccurt et al. Influence of liquid surface tension on stiction of SOI MEMS
US10343900B2 (en) Material structure and method for deep silicon carbide etching
US20080023441A1 (en) Method of deep etching
JP2002518825A (en) An etching process for producing substantially undercut-free silicon on an insulator structure
US20060046329A1 (en) Method for manufacturing a silicon sensor and a silicon sensor
WO2006138126A2 (en) Antistiction mems substrate and method of manufacture
Dixit et al. Effect of SF6 flow rate on the etched surface profile and bottom grass formation in deep reactive ion etching process
Rangelow Reactive ion etching for high aspect ratio silicon micromachining
WO2005071721A1 (en) Plasma etching process
Guckel et al. Advances in processing techniques for silicon micromechanical devices with smooth surfaces
Easter et al. Characterization of High-Pressure $\hbox {XeF} _ {2} $ Vapor-Phase Silicon Etching for MEMS Processing
US20140322918A1 (en) Micro-posts having improved uniformity and a method of manufacture thereof
Ganji et al. Deep trenches in silicon structure using DRIE method with aluminum as an etching mask
Subasinghe et al. High aspect ratio plasma etching of bulk lead zirconate titanate
EP1241703B1 (en) Method for masking silicon during anisotropic wet etching
O'Brien et al. Submicron high-aspect-ratio silicon beam etch
US10651048B1 (en) ScAIN etch mask for highly selective etching
Bogue Developments in advanced silicon etching techniques by STS Systems
O’Brien et al. Deep Reactive Ion Etched Submicron Beam/Trench Characterization
Paul et al. Plasma etching processes for the realization of micromechanical structures for MEMS.(MoO3) x thin films.

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AM AT AU BB BG BR BY CA CH CN CZ DE DK EE ES FI GB GE HU IS JP KE KG KP KR KZ LK LR LT LU LV MD MG MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TT UA UG US UZ VN

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE MW SD SZ UG AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

NENP Non-entry into the national phase

Ref country code: CA

122 Ep: pct application non-entry in european phase