TWI803527B - Integrated circuits including via array and methods of manufacturing the same - Google Patents
Integrated circuits including via array and methods of manufacturing the same Download PDFInfo
- Publication number
- TWI803527B TWI803527B TW107136861A TW107136861A TWI803527B TW I803527 B TWI803527 B TW I803527B TW 107136861 A TW107136861 A TW 107136861A TW 107136861 A TW107136861 A TW 107136861A TW I803527 B TWI803527 B TW I803527B
- Authority
- TW
- Taiwan
- Prior art keywords
- conductive pattern
- conductive
- traces
- layer
- hole
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
Description
本發明是有關於一種積體電路(IC),且特別是有關於一種包括通孔陣列的積體電路及其製造方法。The present invention relates to an integrated circuit (IC), and more particularly to an integrated circuit including an array of vias and a method of manufacturing the same.
[相關申請的交叉參考][Cross-reference to related applications]
本申請主張在2017年10月20日在韓國知識產權局提出申請的韓國專利申請第10-2017-0136613號以及在2018年5月14日在韓國知識產權局提出申請的韓國專利申請第10-2018-0055045號的優先權,所述韓國專利申請的全部內容併入本申請供參考。This application claims Korean Patent Application No. 10-2017-0136613 filed with the Korean Intellectual Property Office on October 20, 2017 and Korean Patent Application No. 10-2018 filed with the Korean Intellectual Property Office on May 14, 2018 Priority of No. 2018-0055045, the entire content of said Korean patent application is incorporated into this application by reference.
隨著半導體製程的小型化,積體電路中所包括的圖案可具有減小的寬度和/或厚度。因此,由圖案造成的電壓(IR)降的影響可能增加。為了減小在不同的導電層中形成的導電圖案之間的電壓降(IR drop),可使用包括多個通孔的通孔陣列。在通孔陣列中所包括的通孔可彼此間隔開以符合設計規則,且連接到通孔的導電圖案可包括附加區域,所述附加區域可被稱為通孔交疊(via overlap)。因此,由通孔陣列產生的通孔及通孔交疊可降低在積體電路的佈局中的可佈線性並導致佈線擁塞(routing congestion)。As semiconductor processes are miniaturized, patterns included in integrated circuits may have reduced widths and/or thicknesses. Therefore, the influence of the voltage (IR) drop caused by the pattern may increase. In order to reduce an voltage drop (IR drop) between conductive patterns formed in different conductive layers, a via array including a plurality of via holes may be used. The vias included in the via array may be spaced apart from each other to comply with design rules, and the conductive patterns connected to the vias may include additional areas, which may be referred to as via overlaps. Thus, vias and via overlaps created by via arrays can reduce routability in the layout of integrated circuits and cause routing congestion.
本發明概念提供一種包括通孔陣列的積體電路(integrated circuit,IC)。更具體來說,本發明概念提供被配置成提供改善的可佈線性的通孔陣列、包括所述通孔陣列的積體電路及製造所述積體電路的方法。The inventive concept provides an integrated circuit (IC) including a via array. More specifically, the inventive concept provides via arrays configured to provide improved routability, integrated circuits including the via arrays, and methods of fabricating the integrated circuits.
根據本發明概念的方面,提供一種積體電路,所述積體電路包括:第一導電層,包括在第一橫向方向上彼此平行地延伸的第一跡線(track)上的導電圖案中的第一導電圖案;第二導電層,包括在第二橫向方向上彼此平行地延伸的第二跡線上的導電圖案中的第二導電圖案及第三導電圖案;第三導電層,包括在所述第一橫向方向上彼此平行地延伸的第三跡線上的導電圖案中的第四導電圖案;第一通孔陣列,包括第一通孔及第二通孔,其中所述第一通孔連接到所述第一導電圖案的頂表面及所述第二導電圖案的底表面,且其中所述第二通孔連接到所述第一導電圖案的所述頂表面及所述第三導電圖案的底表面;以及第二通孔陣列,包括第三通孔及第四通孔,其中所述第三通孔連接到所述第二導電圖案的頂表面及所述第四導電圖案的底表面,且其中所述第四通孔連接到所述第三導電圖案的頂表面及所述第四導電圖案的所述底表面。所述第二導電層更包括第五導電圖案,所述第五導電圖案對準所述第二跡線中位於所述第二導電圖案與所述第三導電圖案之間的一者,所述第五導電圖案在所述第二橫向方向上延伸且不與所述第二導電圖案及所述第三導電圖案耦合。According to an aspect of the inventive concept, there is provided an integrated circuit comprising: a first conductive layer including conductive patterns on first tracks extending parallel to each other in a first lateral direction; The first conductive pattern; the second conductive layer, including the second conductive pattern and the third conductive pattern in the conductive pattern on the second trace extending parallel to each other in the second transverse direction; the third conductive layer, including the A fourth conductive pattern among the conductive patterns on the third traces extending parallel to each other in the first lateral direction; a first through hole array, comprising a first through hole and a second through hole, wherein the first through hole is connected to a top surface of the first conductive pattern and a bottom surface of the second conductive pattern, and wherein the second via is connected to the top surface of the first conductive pattern and the bottom of the third conductive pattern surface; and a second via array comprising a third via and a fourth via, wherein the third via is connected to a top surface of the second conductive pattern and a bottom surface of the fourth conductive pattern, and Wherein the fourth via hole is connected to the top surface of the third conductive pattern and the bottom surface of the fourth conductive pattern. The second conductive layer further includes a fifth conductive pattern aligned with one of the second traces between the second conductive pattern and the third conductive pattern, the fifth conductive pattern A fifth conductive pattern extends in the second lateral direction and is not coupled with the second conductive pattern and the third conductive pattern.
根據本發明概念的另一方面,提供一種積體電路,所述積體電路包括:第一導電層,包括在第一橫向方向上彼此平行地延伸的第一跡線上的導電圖案中的第一導電圖案以及在所述第一橫向方向上延伸的第一導電圖案;第二導電層,包括在第二橫向方向上彼此平行地延伸的第二跡線上的導電圖案中的第二導電圖案及第三導電圖案,所述第二橫向方向與所述第一橫向方向正交;以及第一通孔陣列,包括第一通孔及第二通孔,其中所述第一通孔連接到所述第一導電圖案的頂表面及所述第二導電圖案的底表面,且其中所述第二通孔連接到所述第一導電圖案的所述頂表面及所述第三導電圖案的底表面。所述第一導電圖案、所述第一通孔及所述第二通孔中的每一者在所述第二橫向方向上的長度大於所述第一導電層的所述第一跡線上的所述導電圖案在所述第二橫向方向上的長度。所述第一導電圖案的中心對準所述第一導電層的所述第一跡線中的一者或者對準所述第一導電層的兩個相鄰的第一跡線之間的中心線。According to another aspect of the inventive concept, there is provided an integrated circuit comprising: a first conductive layer including first ones of conductive patterns on first traces extending parallel to each other in a first transverse direction; A conductive pattern and a first conductive pattern extending in the first lateral direction; a second conductive layer including the second conductive pattern and the first conductive pattern in the conductive patterns on the second traces extending parallel to each other in the second lateral direction Three conductive patterns, the second lateral direction is perpendicular to the first lateral direction; and a first through-hole array, including a first through-hole and a second through-hole, wherein the first through-hole is connected to the first through-hole a top surface of a conductive pattern and a bottom surface of the second conductive pattern, and wherein the second via is connected to the top surface of the first conductive pattern and the bottom surface of the third conductive pattern. Each of the first conductive pattern, the first via hole, and the second via hole has a length in the second lateral direction greater than that on the first trace of the first conductive layer. The length of the conductive pattern in the second lateral direction. The center of the first conductive pattern is aligned with one of the first traces of the first conductive layer or the center between two adjacent first traces of the first conductive layer Wire.
根據本發明概念的方面,提供一種積體電路,所述積體電路包括:第一導電層,包括在第一橫向方向上彼此平行地延伸的第一跡線上的導電圖案中的第一導電圖案;第二導電層,包括在第二橫向方向上彼此平行地延伸的第二跡線上的導電圖案中的第二導電圖案及第三導電圖案;第三導電層,包括在所述第一橫向方向上彼此平行地延伸的第三跡線上的導電圖案中的第四導電圖案;第一通孔陣列,包括第一通孔,所述第一通孔中的每一者連接到所述第一導電圖案的頂表面以及連接到所述第二導電圖案的底表面及所述第三導電圖案的底表面中的至少一者;以及第二通孔陣列,包括第二通孔,所述第二通孔中的每一者連接到所述第二導電圖案的頂表面及所述第三導電圖案的頂表面中的至少一者,且連接到所述第四導電圖案的底表面。所述第一通孔陣列的所述第一通孔位於所述第一跡線與所述第二跡線在平面圖中的交叉處。所述第二通孔陣列的所述第二通孔位於所述第二跡線與所述第三跡線在所述平面圖中的交叉處。According to an aspect of the inventive concept, there is provided an integrated circuit comprising: a first conductive layer including first ones of conductive patterns on first traces extending parallel to each other in a first lateral direction a second conductive layer comprising a second conductive pattern and a third conductive pattern in the conductive patterns on second traces extending parallel to each other in a second lateral direction; a third conductive layer comprising a second conductive pattern in the first lateral direction A fourth conductive pattern in the conductive patterns on the third traces extending parallel to each other; a first via array, including first vias, each of which is connected to the first conductive the top surface of the pattern and at least one of the bottom surface connected to the second conductive pattern and the bottom surface of the third conductive pattern; and a second via array including a second via, the second via Each of the holes is connected to at least one of the top surface of the second conductive pattern and the top surface of the third conductive pattern, and is connected to a bottom surface of the fourth conductive pattern. The first vias of the first via array are located at intersections of the first trace and the second trace in plan view. The second vias of the second via array are located at intersections of the second trace and the third trace in the plan view.
根據本發明概念的另一方面,提供一種製造積體電路的方法。所述方法包括:基於胞元庫及用於界定所述積體電路的輸入數據來放置及佈線多個標準胞元,其中所述放置及佈線所述多個標準胞元包括:添加通孔堆疊,所述通孔堆疊被配置成對所述多個標準胞元的電源軌(power rail)與電源網(power mesh)進行互連。所述添加所述通孔堆疊包括:將通孔排列在所述積體電路的相鄰的導電層的跡線之間的在平面圖中的交叉處。According to another aspect of the inventive concept, a method of manufacturing an integrated circuit is provided. The method includes placing and routing a plurality of standard cells based on a cell library and input data defining the integrated circuit, wherein the placing and routing the plurality of standard cells includes adding a via stack , the via stack is configured to interconnect power rails and power meshes of the plurality of standard cells. Said adding said via stack includes arranging vias at intersections in plan view between traces of adjacent conductive layers of said integrated circuit.
圖1是根據本發明概念的示例性實施例的積體電路(IC)100的一部分的透視圖。為簡潔起見,圖1示出積體電路100中所包括的層中的僅一些層。本文所使用的X軸方向及Y軸方向可分別被稱為第一橫向方向及第二橫向方向,且Z軸方向可被稱為垂直方向。第一橫向方向與第二橫向方向可彼此正交。由X軸與Y軸形成的平面可被稱為水平面。相對於其他組件位於相對+Z(正Z軸)方向上的組件可被稱為位於其他組件之上。相對於其他組件位於相對-Z(負Z軸)方向上的組件可被稱為位於其他組件之下。另外,在組件的各表面中,組件在+Z軸方向上的表面可被稱為組件的頂表面,且組件在-Z軸方向上的表面可被稱為組件的底表面。組件的面積可被稱為組件在與水平面平行的表面中的面積。FIG. 1 is a perspective view of a portion of an integrated circuit (IC) 100 according to an exemplary embodiment of the inventive concept. For simplicity, FIG. 1 shows only some of the layers included in
參照圖1,積體電路100可包括前段製程(Front End Of Line,FEOL)區FR及後段製程(Back End Of Line,BEOL)區BR。FEOL區FR可包括排成多個行的標準胞元(standard cell)。舉例來說,如圖1所示,FEOL區FR可包括第一行標準胞元C11及C12、第二行標準胞元C21到C24以及第三行標準胞元C31到C33。圖1中的第一行標準胞元、第二行標準胞元及第三行標準胞元各自的標準胞元中所示的標準胞元的數目僅用於說明目的,且本發明概念並非僅限於此。標準胞元可為積體電路100中所包括的佈局的單元,且可被配置成遵守預定標準(例如,遵循預定設計規則),並且積體電路100可包括多個不同的標準胞元。舉例來說,如圖1所示,標準胞元(例如,C11、C21及C31)可具有預定高度(即,在Y軸方向上的長度),且電源軌111、112、113、114可在Y軸方向上在各行標準胞元(例如,包含標準胞元C11、C21及C31的各行)之間的界面處彼此間隔開,且在X軸方向上彼此平行地延伸。在一些實施例中,標準胞元(例如,C11、C21及C31)可包括在BEOL區BR的一些導電層中形成的導電圖案以及連接到所述導電圖案的通孔。Referring to FIG. 1 , the
電源軌111到114可向標準胞元(例如,C11、C21及C31)供應電源供應電壓。舉例來說,可對第一電源軌111及第三電源軌113中的每一者施加正的供應電壓(例如,VDD),且可對第二電源軌112及第四電源軌114中的每一者施加負的供應電壓(例如,VSS或接地電壓)。即,電源軌可向標準胞元中所包括的電晶體的源極供應電源供應電壓。用語“正的”及“負的”供應電壓(例如,VDD、VSS或接地電壓)並非旨在限制被供應到相應供應電壓的電壓值。舉例來說,在一些實施例中,“負的”供應電壓可為接地電壓。正的供應電壓及負的供應電壓可通過在BEOL區BR中所形成的電源線131及132以及通孔堆疊121、122、123、124被提供到電源軌111到114。在一些實施例中,電源線131及132可具有比位於電源線131及132之下的導電圖案的寬度大的寬度(即,比導電圖案在X軸方向上的長度大的長度),且可被稱為電源網(power mesh)。Power rails 111-114 may supply power supply voltages to standard cells (eg, C11, C21, and C31). For example, a positive supply voltage (eg, VDD) may be applied to each of the
BEOL區BR可包括電源線131及132以及多個導電層,所述多個導電層可在電源軌111到114之間包含導電材料(例如,金屬)。通孔堆疊121到124可用於減小電源線131及132與電源軌111到114之間的電壓(IR)降。舉例來說,如以下參照圖2A到圖2C所述,通孔堆疊121到124可包括與相鄰的導電層的圖案連接的多個通孔。因此,排列在相同水平高度處且連接到同一節點的多個通孔可被稱為通孔陣列。通孔堆疊121到124可包括位於多個水平高度處的多個通孔陣列。因此,可減小電源網(即,電源線131及132)與電源軌111到114之間的IR降。The BEOL region BR may include
如上所述,可因通孔堆疊121到124而提供減小的IR降,而通孔堆疊121到124可造成積體電路100中的佈線擁塞。舉例來說,通孔堆疊121到124中的每一者可包括包含位於相同水平高度處的多個通孔的通孔陣列,且與通孔陣列中所包括的通孔中的每一者連接的導電圖案可包括通孔交疊。因此,如以下參照圖2A到圖2C所述,通孔陣列可限制可由用於在BEOL區BR中所包括的導電層中進行佈線的導電圖案形成的空間。As described above, reduced IR drop may be provided due to via stacks 121 - 124 , which may cause routing congestion in integrated
如以下參照附圖所述,在一些實施例中,通孔堆疊中所包括的通孔陣列可包括排列在相鄰的導電層的跡線之間的交叉處的通孔。因此,可增強積體電路100中的可佈線性。舉例來說,可形成至少一個導電圖案,所述至少一個導電圖案連接到與通孔堆疊不同的節點,不與通孔堆疊耦合,且通過通孔堆疊而形成。互連可因改善的可佈線性而得到優化,且不破壞被配置成減小IR降的通孔堆疊的結構。因此,可增強積體電路100的性能,且可減小積體電路100的面積。As described below with reference to the figures, in some embodiments, an array of vias included in a via stack may include vias arranged at intersections between traces of adjacent conductive layers. Therefore, routability in the integrated
圖2A到圖2C是通孔堆疊的實例的圖。具體來說,圖2A是包括1×2通孔陣列的通孔堆疊的透視圖,圖2B是包括2×2通孔陣列的通孔堆疊的透視圖,且圖2C是包括2×2通孔陣列的通孔堆疊的平面圖。以下,將省略圖2A到圖2C的冗餘說明。儘管本文中將參照1×2通孔陣列及2×2通孔陣列來闡述示例性實施例,然而應理解,本發明概念可適用於比1×2通孔陣列大的1維通孔陣列及比2×2通孔陣列大的2維通孔陣列。2A-2C are diagrams of examples of via stacks. Specifically, FIG. 2A is a perspective view of a via stack including a 1×2 via array, FIG. 2B is a perspective view of a via stack including a 2×2 via array, and FIG. 2C is a perspective view of a via stack including a 2×2 via array. A floor plan of the array's via stack. Hereinafter, redundant descriptions of FIGS. 2A to 2C will be omitted. Although the exemplary embodiments will be described herein with reference to 1x2 via arrays and 2x2 via arrays, it should be understood that the inventive concepts are applicable to 1-dimensional via arrays larger than 1x2 via arrays and A 2-dimensional via array larger than a 2×2 via array.
參照圖2A,導電層可具有優選的用於佈線的方向。舉例來說,如圖2A所示,Mx層可具有跡線TR11及TR12,跡線TR11及TR12可在X軸方向上彼此平行地延伸。Mx層的導電圖案可對準跡線TR11及TR12且在X軸方向上延伸,如Mx層的示例性導電圖案P11所示。相似地,Mx+1層可具有跡線TR21到TR26,跡線TR21到TR26可在Y軸方向上彼此平行地延伸。Mx+1層的導電圖案可對準跡線TR21到TR26且在Y軸方向上延伸,如Mx+1層的示例性導電圖案P21到P26所示。另外,Mx+2層可具有跡線TR31及TR32,跡線TR31及TR32可在X軸方向上彼此平行地延伸。Mx+2層的導電圖案可對準跡線TR31及TR32且在X軸方向上延伸,如Mx+2層的示例性導電圖案P31所示。本文中,假設Mx層及Mx+2層中的每一者具有在X軸方向上延伸的跡線,且Mx+1層具有在Y軸方向上延伸的跡線。在一些實例中,Mx+1層可位於Mx層上方(例如,在正Z軸方向上為分隔開),且Mx+2層可位於Mx+1層上方。此外,導電圖案對準跡線或導電圖案沿著跡線排列可指將導電圖案排列成使得跡線經過導電圖案的中心,如圖2A所示。在一些實例中,導電圖案可被排列成使得跡線經過導電圖案的不位於導電圖案的中心的一部分。在一些實施例中,跡線可為在上面排列導電圖案的路徑(例如,對準柵格的元件)。Referring to FIG. 2A, the conductive layer may have a preferred direction for wiring. For example, as shown in FIG. 2A , the Mx layer may have traces TR11 and TR12 that may extend parallel to each other in the X-axis direction. The conductive pattern of the Mx layer may be aligned with traces TR11 and TR12 and extend in the X-axis direction, as shown by the exemplary conductive pattern P11 of the Mx layer. Similarly, the Mx+1 layer may have traces TR21 to TR26 that may extend parallel to each other in the Y-axis direction. The conductive patterns of the Mx+1 layer may be aligned with the traces TR21-TR26 and extend in the Y-axis direction, as shown by the exemplary conductive patterns P21-P26 of the Mx+1 layer. In addition, the Mx+2 layer may have traces TR31 and TR32, which may extend parallel to each other in the X-axis direction. The conductive pattern of the Mx+2 layer may be aligned with traces TR31 and TR32 and extend in the X-axis direction, as shown in the exemplary conductive pattern P31 of the Mx+2 layer. Herein, it is assumed that each of the Mx layer and the Mx+2 layer has traces extending in the X-axis direction, and the Mx+1 layer has traces extending in the Y-axis direction. In some examples, the Mx+1 layer can be located above the Mx layer (eg, spaced apart in the positive Z direction), and the Mx+2 layer can be located above the Mx+1 layer. In addition, aligning the conductive pattern to the trace or aligning the conductive pattern along the trace may refer to aligning the conductive pattern such that the trace passes through the center of the conductive pattern, as shown in FIG. 2A . In some examples, the conductive pattern may be arranged such that the trace passes through a portion of the conductive pattern that is not located in the center of the conductive pattern. In some embodiments, a trace may be a path on which a conductive pattern is arrayed (eg, elements of an alignment grid).
導電層中的跡線之間的節距可根據設計規則來確定。舉例來說,Mx+1層的跡線TR21到TR26可根據節距以規則的間隔彼此間隔開且在Y軸方向上延伸,並且所述節距可根據Mx+1層中的導電圖案的寬度及導電圖案中的各導電圖案之間的最小距離來確定。此外,如圖2A所示,Mx+2層中的導電圖案的寬度(即,Y軸長度)以及跡線之間的節距可不同於Mx層及Mx+1層中的導電圖案的寬度及跡線之間的節距。為了改善可佈線性,相鄰的導電層可具有在不同方向上的跡線。舉例來說,如圖2A所示,Mx層及Mx+2層可具有與X軸方向平行的方向,而Mx+1層可具有與Y軸方向平行的方向。The pitch between traces in the conductive layer can be determined according to design rules. For example, the traces TR21 to TR26 of the Mx+1 layer may be spaced apart from each other at regular intervals and extend in the Y-axis direction according to a pitch, and the pitch may be according to the width of the conductive pattern in the Mx+1 layer And the minimum distance between each conductive pattern in the conductive pattern is determined. In addition, as shown in FIG. 2A , the width (i.e., the Y-axis length) of the conductive patterns in the Mx+2 layer and the pitch between the traces may be different from the width and width of the conductive patterns in the Mx layer and the Mx+1 layer. The pitch between traces. To improve routability, adjacent conductive layers may have traces in different directions. For example, as shown in FIG. 2A , the Mx layer and the Mx+2 layer may have directions parallel to the X-axis direction, while the Mx+1 layer may have directions parallel to the Y-axis direction.
如圖2A所示,通孔堆疊可包括1×2通孔陣列。舉例來說,在Mx層與Mx+1層之間的1×2通孔陣列中,與Mx層的導電圖案P12的頂表面及Mx+1層的導電圖案P27的底表面連接的通孔V11及V12可在X軸方向上彼此間隔開。在一些實例中,通孔V11及V12可位於通孔堆疊中的水平高度Vx處。在Mx+1層與Mx+2層之間的1×2通孔陣列中,與Mx+1層的導電圖案P27的頂表面及Mx+2層的導電圖案P32的底表面連接的通孔V21及V22也可在X軸方向上彼此間隔開。在一些實例中,通孔V21及V22可位於通孔堆疊中比水平高度Vx高(例如,在正Z軸方向上分隔開)的水平高度Vx+1處。As shown in FIG. 2A , the via stack may include a 1×2 array of vias. For example, in the 1×2 via array between the Mx layer and the Mx+1 layer, the via V11 connected to the top surface of the conductive pattern P12 of the Mx layer and the bottom surface of the conductive pattern P27 of the Mx+1 layer and V12 may be spaced apart from each other in the X-axis direction. In some examples, vias V11 and V12 may be located at level Vx in the via stack. In the 1×2 via hole array between the Mx+1 layer and the Mx+2 layer, the via hole V21 connected to the top surface of the conductive pattern P27 of the Mx+1 layer and the bottom surface of the conductive pattern P32 of the Mx+2 layer and V22 may also be spaced apart from each other in the X-axis direction. In some examples, vias V21 and V22 may be located at a level Vx+1 in the via stack that is higher than level Vx (eg, separated in the positive Z-axis direction).
在圖2A所示實例中,通孔可與導電層的跡線無關地排列。舉例來說,通孔可以各通孔之間的最小距離為間隔來排列,所述最小距離可根據通孔陣列中的設計規則來界定。此外,通孔堆疊的導電圖案P12、P27及P32可包括通孔交疊。舉例來說,如圖2A所示,導電圖案P12、P27及P32可延伸超出(例如,超過)在X軸方向上的通孔V11、V12、V21及V22之間的界面,且延伸超出的部分可被稱為通孔交疊。舉例來說,Mx層的導電圖案P12可在X軸方向上沿著在Mx層的相似方向(例如,X軸方向)上的跡線TR12延伸,Mx層的其他導電圖案(例如,導電圖案P11)在所述相似的方向上延伸,而Mx+1層的導電圖案P27可在可與Mx+1層的方向(例如,Y軸方向)不同的X軸方向上延伸,Mx+1層的其他導電圖案(例如,導電圖案P21到P26)在Mx+1層的所述方向上延伸。因此,Mx+1層的導電圖案P21到P25在Y軸方向上的延伸可因導電圖案P27而受到限制。即,五個跡線P21到P25可因導電圖案P27而被犧牲(例如,尺寸減小)。In the example shown in FIG. 2A, the vias may be arranged independently of the traces of the conductive layer. For example, vias may be arranged at intervals of a minimum distance between vias, which may be defined according to design rules in the via array. In addition, the conductive patterns P12, P27, and P32 of the via stack may include a via overlap. For example, as shown in FIG. 2A, the conductive patterns P12, P27, and P32 may extend beyond (eg, exceed) the interface between the via holes V11, V12, V21, and V22 in the X-axis direction, and the portion extending beyond May be referred to as via overlap. For example, the conductive pattern P12 of the Mx layer may extend in the X-axis direction along the trace TR12 in a similar direction (for example, the X-axis direction) of the Mx layer, and other conductive patterns of the Mx layer (for example, the conductive pattern P11 ) extend in the similar direction, while the conductive pattern P27 of the Mx+1 layer may extend in the X-axis direction which may be different from the direction of the Mx+1 layer (for example, the Y-axis direction), the other of the Mx+1 layer Conductive patterns (eg, conductive patterns P21 to P26 ) extend in the direction of the Mx+1 layer. Therefore, the extension of the conductive patterns P21 to P25 of the Mx+1 layer in the Y-axis direction may be limited by the conductive pattern P27. That is, the five traces P21 to P25 may be sacrificed (eg, reduced in size) due to the conductive pattern P27 .
參照圖2B,通孔堆疊可包括2×2通孔陣列。舉例來說,在Mx層與Mx+1層之間的2×2通孔陣列中,與Mx層的導電圖案P12的頂表面及Mx+1層的導電圖案P27的底表面連接的四個通孔可在X軸方向及Y軸方向上彼此間隔開。在Mx+1層與Mx+2層之間的2×2通孔陣列中,與Mx+1層的導電圖案P27的頂表面及Mx+2層的導電圖案P31的底表面連接的四個通孔也可在X軸方向及Y軸方向上彼此間隔開。在圖2B所示實例中,2×2通孔陣列的通孔可與導電層的跡線無關地排列。舉例來說,2×2通孔陣列的通孔可以各通孔之間的最小距離為間隔來排列,所述最小距離是根據設計規則來設計。Referring to FIG. 2B , the via stack may include a 2×2 via array. For example, in the 2×2 via array between the Mx layer and the Mx+1 layer, the four vias connected to the top surface of the conductive pattern P12 of the Mx layer and the bottom surface of the conductive pattern P27 of the Mx+1 layer The holes may be spaced apart from each other in the X-axis direction and the Y-axis direction. In the 2×2 via hole array between the Mx+1 layer and the Mx+2 layer, the four vias connected to the top surface of the conductive pattern P27 of the Mx+1 layer and the bottom surface of the conductive pattern P31 of the Mx+2 layer The holes may also be spaced apart from each other in the X-axis direction and the Y-axis direction. In the example shown in FIG. 2B, the vias of the 2x2 via array can be arranged independently of the traces of the conductive layer. For example, the through holes of the 2×2 through hole array can be arranged at intervals of the minimum distance between the through holes, and the minimum distance is designed according to the design rule.
連接到2×2通孔陣列的導電圖案可一體形成。舉例來說,如圖2B所示,Mx層的導電圖案P12、Mx+1層的導電圖案P27及Mx+2層的導電圖案P31可具有比可沿著每一導電層的跡線延伸的導電圖案(例如,導電圖案P21到P26和/或導電圖案P11)大的寬度。與圖2A的實例相似,由於Mx+1層的導電圖案P27,Mx+1層的導電圖案P21到P25在Y軸方向上的延伸可受限,且五個跡線P21到P25可被犧牲(例如,尺寸減小)。The conductive pattern connected to the 2x2 via array may be integrally formed. For example, as shown in FIG. 2B, the conductive pattern P12 of the Mx layer, the conductive pattern P27 of the Mx+1 layer, and the conductive pattern P31 of the Mx+2 layer can have a conductive pattern that can extend along the traces of each conductive layer. The pattern (eg, the conductive patterns P21 to P26 and/or the conductive pattern P11 ) has a large width. Similar to the example of FIG. 2A , due to the conductive pattern P27 of the Mx+1 layer, the extension of the conductive patterns P21 to P25 of the Mx+1 layer in the Y-axis direction may be limited, and the five traces P21 to P25 may be sacrificed ( e.g. size reduction).
在例如圖2B所示實例等實例中,在同一導電層中具有相對大的寬度的導電圖案與鄰近所述導電圖案的導電圖案之間的最小距離可根據設計規則被界定為相對大的值。因此,如圖2B所示,由於導電圖案P12,不僅與導電圖案P12交叉的兩個跡線TR13及TR14且不與導電圖案P12交叉的跡線TR12可在Mx層中被犧牲(例如,在導電圖案中的某些導電圖案中不被使用)。In examples such as the example shown in FIG. 2B , the minimum distance between a conductive pattern having a relatively large width and a conductive pattern adjacent to the conductive pattern in the same conductive layer may be defined as a relatively large value according to design rules. Therefore, as shown in FIG. 2B, due to the conductive pattern P12, not only the two traces TR13 and TR14 intersecting the conductive pattern P12 but also the trace TR12 not intersecting the conductive pattern P12 can be sacrificed in the Mx layer (for example, in the conductive pattern P12). patterns are not used in some conductive patterns).
參照圖2C,通孔堆疊可包括2×2通孔陣列,且在2×2通孔陣列中所包括的通孔可連接到不同的導電圖案。舉例來說,如圖2C所示,在2×2通孔陣列的通孔中,第一通孔V11及第二通孔V12可連接到Mx層的導電圖案P11的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。相似地,在2×2通孔陣列的通孔中,第三通孔V13及第四通孔V14可連接到Mx層的導電圖案P12的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。導電圖案P21及P22不另外直接彼此耦合。Referring to FIG. 2C , the via stack may include a 2×2 via array, and vias included in the 2×2 via array may be connected to different conductive patterns. For example, as shown in FIG. 2C, in the via holes of the 2×2 via hole array, the first via hole V11 and the second via hole V12 may be connected to the top surface of the conductive pattern P11 of the Mx layer, and respectively connected to The bottom surfaces of the conductive patterns P21 and P22 of the Mx+1 layer. Similarly, among the vias of the 2×2 via array, the third via V13 and the fourth via V14 can be connected to the top surface of the conductive pattern P12 of the Mx layer, and respectively connected to the conductive pattern of the Mx+1 layer Bottom surfaces of P21 and P22. The conductive patterns P21 and P22 are not otherwise directly coupled to each other.
與圖2B的實例相比,在圖2C所示實例中,2×2通孔陣列的第一通孔V11到第四通孔V14可不連接到集成導電圖案,使得可減小通孔堆疊中所包括的導電圖案P11、P12、P21及P22與外圍導電圖案之間的最小距離。然而,通孔V11到V14可與Mx層的跡線TR11到TR16以及Mx+1層的跡線TR21到TR26無關地排列。因此,不僅通孔陣列的外部跡線(例如,TR12、TR15、TR22及TR25)(例如,通孔陣列的外圍之外的跡線)且通孔陣列的內部跡線(TR23、TR24、TR13及TR14)(例如,通孔陣列的外圍以內的跡線)可被犧牲。Compared with the example of FIG. 2B , in the example shown in FIG. 2C , the first via V11 to the fourth via V14 of the 2×2 via array may not be connected to the integrated conductive pattern, so that the via stack can be reduced. The minimum distance between the included conductive patterns P11, P12, P21 and P22 and the peripheral conductive patterns. However, the vias V11 to V14 may be arranged independently of the traces TR11 to TR16 of the Mx layer and the traces TR21 to TR26 of the Mx+1 layer. Thus, not only the external traces (e.g., TR12, TR15, TR22, and TR25) of the via array (e.g., traces outside the periphery of the via array) but also the internal traces (TR23, TR24, TR13, and TR14) (eg, traces within the periphery of the via array) may be sacrificed.
圖3A到圖3C是根據本發明概念的示例性實施例的通孔堆疊的實例的圖。具體來說,圖3A是包括1×2通孔陣列的通孔堆疊的透視圖,圖3B是包括2×2通孔陣列的通孔堆疊的透視圖,且圖3C是包括1×3通孔陣列的通孔堆疊的透視圖。以下,將在圖3A到圖3C的說明中省略與參照圖2A到圖2C相同的說明。3A to 3C are diagrams of examples of via stacks according to exemplary embodiments of the inventive concept. Specifically, FIG. 3A is a perspective view of a via stack including a 1×2 via array, FIG. 3B is a perspective view of a via stack including a 2×2 via array, and FIG. 3C is a perspective view of a via stack including a 1×3 via array. Perspective view of the arrayed via stack. Hereinafter, the same description as referring to FIGS. 2A to 2C will be omitted in the description of FIGS. 3A to 3C .
參照圖3A到圖3C,在一些實施例中,通孔陣列的通孔可排列在相鄰的導電層的跡線之間的交叉處(例如,在平面圖中)處。本文所使用的相鄰的導電層的跡線之間的交叉處是指兩個相鄰的導電層之間的區,所述區沿著與所述兩個相鄰的導電層正交的線排列且與這兩個跡線交叉(例如,所述兩個跡線在垂直方向上彼此交疊)。換句話說,排列在兩個相鄰的導電層的跡線之間的交叉處的通孔的一個部分將與第一導電層中的兩個跡線中的第一跡線交叉,且第二部分將與第二導電層中的兩個跡線中的第二跡線交叉,所述第二導電層與所述第一導電層相鄰。此外,與通孔陣列的通孔連接的導電圖案可沿著導電圖案的導電層的跡線延伸。因此,可減少因通孔堆疊而被犧牲的跡線的數目(即,使用受到限制的跡線的數目)。因此,可減少佈線擁塞以改善可佈線性。Referring to FIGS. 3A-3C , in some embodiments, the vias of the via array may be arranged at intersections (eg, in plan view) between traces of adjacent conductive layers. As used herein, an intersection between traces of adjacent conductive layers refers to a region between two adjacent conductive layers along a line orthogonal to the two adjacent conductive layers. aligned and intersects the two traces (eg, the two traces overlap each other in the vertical direction). In other words, a portion of a via arranged at an intersection between traces of two adjacent conductive layers will intersect the first of the two traces in the first conductive layer, and the second A portion will intersect a second of the two traces in the second conductive layer that is adjacent to the first conductive layer. Furthermore, the conductive pattern connected to the vias of the via array may extend along the traces of the conductive layer of the conductive pattern. Accordingly, the number of traces sacrificed (ie, the number of traces whose use is limited) due to via stacking can be reduced. Therefore, wiring congestion can be reduced to improve routability.
參照圖3A,通孔堆疊可包括1×2通孔陣列,且1×2通孔陣列中所包括的通孔可排列在跡線之間的交叉處。舉例來說,在Mx層與Mx+1層之間的1×2通孔陣列中,與Mx層的導電圖案P12的頂表面及Mx+1層的導電圖案P22的底表面連接的通孔V11可排列在Mx層的跡線TR12與Mx+1層的跡線TR22之間的交叉處,且與Mx層的導電圖案P12的頂表面及Mx+1層的導電圖案P24的底表面連接的通孔V12可排列在Mx層的跡線TR12與Mx+1層的跡線TR24之間的交叉處。相似地,在Mx+1層與Mx+2層之間的1×2通孔陣列中,與Mx+1層的導電圖案P22的頂表面及Mx+2層的導電圖案P32的底表面連接的通孔V21可排列在Mx+1層的跡線TR22與Mx+2層的跡線TR32之間的交叉處,且與Mx+1層的導電圖案P24的頂表面及Mx+2層的導電圖案P32的底表面連接的通孔V22可排列在Mx+1層的跡線TR24與Mx+2層的跡線TR32之間的交叉處。在圖3A的實例中,Mx層的跡線之間的節距可與Mx+2層的跡線之間的節距不同。因此,如圖3A所示,位於Mx+1層之下的通孔V11及V12可不對準在Mx+1層上在Z軸方向上的通孔V21及V22。Referring to FIG. 3A , the via stack may include a 1×2 via array, and vias included in the 1×2 via array may be arranged at intersections between traces. For example, in the 1×2 via array between the Mx layer and the Mx+1 layer, the via V11 connected to the top surface of the conductive pattern P12 of the Mx layer and the bottom surface of the conductive pattern P22 of the Mx+1 layer The vias that can be arranged at the intersection between the trace TR12 of the Mx layer and the trace TR22 of the Mx+1 layer, and connected to the top surface of the conductive pattern P12 of the Mx layer and the bottom surface of the conductive pattern P24 of the Mx+1 layer The hole V12 may be arranged at the intersection between the trace TR12 of the Mx layer and the trace TR24 of the Mx+1 layer. Similarly, in the 1×2 via hole array between the Mx+1 layer and the Mx+2 layer, the top surface of the conductive pattern P22 of the Mx+1 layer and the bottom surface of the conductive pattern P32 of the Mx+2 layer are connected The via hole V21 may be arranged at the intersection between the trace TR22 of the Mx+1 layer and the trace TR32 of the Mx+2 layer, and is connected to the top surface of the conductive pattern P24 of the Mx+1 layer and the conductive pattern of the Mx+2 layer. The bottom surface connected via V22 of P32 may be arranged at the intersection between the trace TR24 of the Mx+1 layer and the trace TR32 of the Mx+2 layer. In the example of FIG. 3A , the pitch between the traces of the Mx layer may be different from the pitch between the traces of the Mx+2 layer. Therefore, as shown in FIG. 3A , the vias V11 and V12 located under the Mx+1 layer may not be aligned with the vias V21 and V22 in the Z-axis direction on the Mx+1 layer.
在通孔堆疊中,導電圖案P12、P22、P24及P32可沿著導電層的跡線延伸且提供通孔交疊。舉例來說,如圖3A所示,Mx層的導電圖案P12可沿著在X軸方向上的跡線TR12延伸以提供通孔V11及V12的通孔交疊,且Mx+1層的導電圖案P22及P24可沿著在Y軸方向上的跡線TR22及TR24延伸以提供通孔V11、V12、V21及V22的通孔交疊。In a via stack, conductive patterns P12, P22, P24, and P32 may extend along traces of the conductive layer and provide via overlap. For example, as shown in FIG. 3A , the conductive pattern P12 of the Mx layer may extend along the trace TR12 in the X-axis direction to provide the vias of the vias V11 and V12 to overlap, and the conductive pattern of the Mx+1 layer P22 and P24 may extend along traces TR22 and TR24 in the Y-axis direction to provide via overlap of vias V11 , V12 , V21 and V22 .
如上所述,通孔陣列的通孔可排列在(相鄰層的)跡線之間的交叉處,且通孔交疊可沿著跡線延伸使得可增加可使用的跡線的數目。舉例來說,如圖3A所示,儘管在Mx+1層中被配置成提供通孔交疊的導電圖案P22及P24的跡線TR22及TR24的使用受到限制,然而導電圖案P21、P23及P25可沿著在Y軸方向上的其他跡線TR21、TR23及TR25延伸。舉例來說,Mx+1層的導電圖案P23可不與提供通孔交疊的導電圖案P22及P24耦合,且可穿透通孔交疊並沿著在Y軸方向上的跡線TR23延伸。因此,與Mx+1層的五個跡線TR21到TR25的部分被犧牲的圖2A的實例相比,在圖3A的實例中,被犧牲的跡線(例如,可用長度減小的跡線)的數目可減少,且可獲得良好的可佈線性。As described above, the vias of the via array may be arranged at intersections between traces (of adjacent layers), and the via overlap may extend along the traces such that the number of usable traces may be increased. For example, as shown in FIG. 3A , although the use of the traces TR22 and TR24 configured to provide via overlapping conductive patterns P22 and P24 in the Mx+1 layer is limited, the conductive patterns P21, P23, and P25 It may extend along other traces TR21 , TR23 and TR25 in the Y-axis direction. For example, the conductive pattern P23 of the Mx+1 layer may not be coupled with the conductive patterns P22 and P24 providing the via overlap, and may penetrate the via overlap and extend along the trace TR23 in the Y-axis direction. Thus, compared to the example of FIG. 2A in which portions of the five traces TR21 to TR25 of the Mx+1 layer are sacrificed, in the example of FIG. The number of can be reduced and good routability can be obtained.
在一些實施例中,如圖3A所示,Mx層的作為通孔陣列一部分的導電圖案(例如,導電圖案P12)可在X軸方向上沿著在Mx層的相似方向(例如,X軸方向)上的跡線TR12延伸,Mx層的其他導電圖案(例如,導電圖案P11)在所述相似的方向上延伸,且Mx+1層的作為通孔陣列的一部分的導電圖案(例如,導電圖案P22)可在可與Mx+1層的方向(例如,Y軸方向)相似的Y軸方向上延伸,Mx+1層的其他導電圖案(例如,導電圖案P21、P23及P25)在Mx+1層的所述方向上延伸。In some embodiments, as shown in FIG. 3A , the conductive pattern (for example, conductive pattern P12 ) of the Mx layer that is part of the via array can be along the X-axis direction along a similar direction in the Mx layer (for example, the X-axis direction ), the other conductive patterns of the Mx layer (eg, conductive pattern P11 ) extend in the similar direction, and the conductive patterns of the Mx+1 layer that are part of the via array (eg, conductive pattern P22) may extend in the Y-axis direction which may be similar to the direction (for example, the Y-axis direction) of the Mx+1 layer, other conductive patterns of the Mx+1 layer (for example, conductive patterns P21, P23 and P25) The layer extends in said direction.
參照圖3B,通孔堆疊可包括2×2通孔陣列,且2×2通孔陣列中所包括的通孔可排列在跡線之間的交叉處。舉例來說,在Mx層與Mx+1層之間的2×2通孔陣列中,與Mx層的導電圖案P13的頂表面連接的通孔V11及V12可分別排列在Mx層的跡線TR13與Mx+1層的跡線TR21及TR23之間的交叉處,且與Mx層的導電圖案P11的頂表面連接的通孔V13及V14可分別排列在Mx層的跡線TR11與Mx+1層的跡線TR21及TR23之間的交叉處。此外,在Mx+1層與Mx+2層之間的2×2通孔陣列中,與Mx+1層的導電圖案P21的頂表面連接的通孔V21及V23可分別排列在Mx+1層的跡線TR21與Mx+2層的跡線TR32及TR31之間的交叉處,且與Mx+1層的導電圖案P23的頂表面連接的通孔V22及V24可分別排列在Mx+1層的跡線TR23與Mx+2層的跡線TR32及TR31之間的交叉處。Referring to FIG. 3B , the via stack may include a 2×2 via array, and vias included in the 2×2 via array may be arranged at intersections between traces. For example, in the 2×2 via array between the Mx layer and the Mx+1 layer, the vias V11 and V12 connected to the top surface of the conductive pattern P13 of the Mx layer can be respectively arranged on the trace TR13 of the Mx layer At the intersections between the traces TR21 and TR23 of the Mx+1 layer, and the vias V13 and V14 connected to the top surface of the conductive pattern P11 of the Mx layer can be respectively arranged on the traces TR11 of the Mx layer and the Mx+1 layer The intersection between traces TR21 and TR23. In addition, in the 2×2 via hole array between the Mx+1 layer and the Mx+2 layer, the via holes V21 and V23 connected to the top surface of the conductive pattern P21 of the Mx+1 layer can be respectively arranged in the Mx+1 layer At the intersection between the trace TR21 of the Mx+2 layer and the trace TR32 and TR31 of the Mx+2 layer, and the via holes V22 and V24 connected to the top surface of the conductive pattern P23 of the Mx+1 layer can be respectively arranged in the Mx+1 layer The intersection between trace TR23 and traces TR32 and TR31 of the Mx+2 layer.
如圖3B所示,通孔堆疊的導電圖案P11、P13、P21、P23、P31及P32可沿著導電層的跡線延伸且提供通孔交疊。舉例來說,Mx層的導電圖案P11及P13可沿著跡線TR11及TR13延伸以提供通孔V11到V14的通孔交疊。此外,Mx+1層的導電圖案P21及P23可沿著在Y軸方向上的跡線TR21及TR23延伸以提供通孔V11到V14以及V21到V24的通孔交疊。As shown in FIG. 3B , the conductive patterns P11 , P13 , P21 , P23 , P31 , and P32 of the via stack may extend along the traces of the conductive layer and provide via overlap. For example, conductive patterns P11 and P13 of the Mx layer may extend along traces TR11 and TR13 to provide a via overlap of vias V11 to V14. In addition, the conductive patterns P21 and P23 of the Mx+1 layer may extend along the traces TR21 and TR23 in the Y-axis direction to provide via overlaps of the vias V11 to V14 and V21 to V24.
如圖3B所示,由於提供通孔交疊的導電圖案P11及P13的跡線TR11及TR13在Mx層中被犧牲,因此導電圖案可沿著在X軸方向上的Mx層的其他跡線(例如,TR12)延伸。相似地,由於提供通孔交疊的導電圖案P21及P23的跡線TR21及TR23在Mx+1層中被犧牲,因此導電圖案(例如,P22)可沿著在Y軸方向上的Mx+1層的其他跡線(例如,TR22)延伸。因此,與其中Mx+1層的五個跡線TR21到TR25的部分可被犧牲的圖2B的實例以及其中Mx+1層的四個跡線TR22到TR25的部分可被犧牲的實例相比,在圖3B的實例中,被犧牲的跡線(例如,可用長度減小的跡線)的數目可減少,且可獲得良好的可佈線性。As shown in FIG. 3B, since the traces TR11 and TR13 of the conductive patterns P11 and P13 providing via overlap are sacrificed in the Mx layer, the conductive patterns can be along other traces of the Mx layer in the X-axis direction ( For example, TR12) extension. Similarly, since the traces TR21 and TR23 of the conductive patterns P21 and P23 providing the via overlap are sacrificed in the Mx+1 layer, the conductive pattern (eg, P22) can be along the Mx+1 in the Y-axis direction. Other traces of the layer (for example, TR22) extend. Thus, compared to the example of FIG. 2B in which portions of the five traces TR21 to TR25 of the Mx+1 layer may be sacrificed and the example in which portions of the four traces TR22 to TR25 of the Mx+1 layer may be sacrificed, In the example of FIG. 3B , the number of sacrificed traces (eg, traces of reduced usable length) can be reduced and good routability can be achieved.
參照圖3C,通孔堆疊可連接到具有大的寬度(例如,寬度大於其他層中的導電圖案(例如P22及P24))的導電圖案P41。如圖3C所示,導電圖案P41可沿著Mx+3層中在Y軸方向上的跡線TR41延伸,且被稱為肥胖金屬(fat metal)。在一些實施例中,導電圖案P41可為電源網中所包括的電源線(例如,圖1的131及132)。儘管Mx+3層的跡線TR41在Y軸方向上延伸,然而Mx+3層的導電圖案P41可因導電圖案P41的寬度(即,導電圖案P41在X軸上的較大寬度)而經由在X方向上排列在通孔V31到V33(即,1×3通孔陣列)連接到Mx+2層的導電圖案P31,且1×3通孔陣列可在Mx+2層之下重複。舉例來說,包括三個通孔V21到V23的1×3通孔陣列可位於Mx+2層與Mx+1層之間,且包括三個通孔V11到V13的1×3通孔陣列可位於Mx+1層與Mx層之間。Referring to FIG. 3C , the via stack may be connected to a conductive pattern P41 having a large width (eg, wider than conductive patterns in other layers (eg, P22 and P24 )). As shown in FIG. 3C , the conductive pattern P41 may extend along the trace TR41 in the Y-axis direction in the Mx+3 layer, and is called fat metal. In some embodiments, the conductive pattern P41 may be a power line (eg, 131 and 132 of FIG. 1 ) included in the power grid. Although the trace TR41 of the Mx+3 layer extends in the Y-axis direction, the conductive pattern P41 of the Mx+3 layer can be routed via the The vias V31 to V33 (ie, 1×3 via array) connected to the conductive pattern P31 of the Mx+2 layer are arranged in the X direction, and the 1×3 via array can be repeated under the Mx+2 layer. For example, a 1×3 via array including three vias V21 to V23 may be located between layers Mx+2 and Mx+1, and a 1×3 via array including three vias V11 to V13 may be It is located between the Mx+1 layer and the Mx layer.
如圖3C所示,1×3通孔陣列的通孔可排列在跡線之間的交叉處,使得可增加可用的跡線。舉例來說,在沿著Mx+1層中的跡線TR21、TR23及TR25提供通孔交疊的導電圖案之間,不與通孔堆疊耦合的導電圖案P22及P24可穿透通孔堆疊並在Y軸方向上沿著跡線TR22及TR24延伸。儘管圖3C中示出1×3通孔陣列,然而通孔堆疊可包括在一些實施例中為1×4或大於1×4的1維通孔陣列或在一些實施例中為2×3或大於2×3的2維通孔陣列。As shown in FIG. 3C , vias of a 1×3 via array can be arranged at intersections between traces so that available traces can be increased. For example, between conductive patterns that provide via overlap along traces TR21, TR23, and TR25 in the Mx+1 layer, conductive patterns P22 and P24 that are not coupled to the via stack may penetrate the via stack and It extends along the traces TR22 and TR24 in the Y-axis direction. Although a 1×3 via array is shown in FIG. 3C , the via stack may include a 1-dimensional via array that is 1×4 or greater in some embodiments or 2×3 or greater in some embodiments. 2D via arrays larger than 2×3.
圖4是根據本發明概念的示例性實施例的通孔堆疊的實例的平面圖。具體來說,圖4示出位於Mx層與Mx+1層之間的包括2×2通孔陣列的通孔堆疊的實例。在圖4中,使用陰影指示位於在Z軸方向上分隔開的不同層(例如,Mx層與Mx+1層)上的導電圖案。FIG. 4 is a plan view of an example of a via stack according to an exemplary embodiment of the inventive concept. In particular, FIG. 4 shows an example of a via stack comprising a 2x2 array of vias located between the Mx layer and the Mx+1 layer. In FIG. 4 , hatching is used to indicate conductive patterns on different layers (for example, Mx layer and Mx+1 layer) separated in the Z-axis direction.
如圖4所示,2×2通孔陣列的通孔V11到V14可分別排列在Mx層的跡線TR12及TR14與Mx+1層的跡線TR22及TR24之間的交叉處。第一通孔V11及第二通孔V12可連接到Mx層的導電圖案P11的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。此外,第三通孔V13及第四通孔V14可連接到Mx層的導電圖案P12的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。在一些實施例中,2×2通孔陣列的通孔V11及V13在X軸方向上分別與通孔V12及V14間隔開的距離S2及2×2通孔陣列的通孔V13及V14在Y軸方向上分別與通孔V11及V12間隔開的距離S1可大於根據設計規則界定的各通孔之間的最小距離。因此,2×2通孔陣列的通孔V11到V14可遵守設計規則。儘管圖4中未示出,然而與通孔堆疊絕緣的導電圖案可沿著Mx層的在X軸方向上的跡線TR13延伸,且與通孔堆疊絕緣的導電圖案可沿著Mx+1層的在Y軸方向上的跡線TR23延伸。As shown in FIG. 4 , the vias V11 to V14 of the 2×2 via array may be respectively arranged at intersections between the traces TR12 and TR14 of the Mx layer and the traces TR22 and TR24 of the Mx+1 layer. The first via V11 and the second via V12 may be connected to the top surface of the conductive pattern P11 of the Mx layer, and connected to the bottom surfaces of the conductive patterns P21 and P22 of the Mx+1 layer, respectively. In addition, the third via hole V13 and the fourth via hole V14 may be connected to the top surface of the conductive pattern P12 of the Mx layer, and connected to the bottom surfaces of the conductive patterns P21 and P22 of the Mx+1 layer, respectively. In some embodiments, the through holes V11 and V13 of the 2×2 through hole array are separated from the through holes V12 and V14 in the X-axis direction by the distance S2 and the through holes V13 and V14 of the 2×2 through hole array are in the Y direction. The distance S1 separated from the through holes V11 and V12 in the axial direction may be greater than the minimum distance between the through holes defined according to the design rules. Therefore, the vias V11 to V14 of the 2×2 via array can obey the design rule. Although not shown in FIG. 4 , the conductive pattern insulated from the via stack may extend along the trace TR13 in the X-axis direction of the Mx layer, and the conductive pattern insulated from the via stack may extend along the Mx+1 layer. The trace TR23 extends in the Y-axis direction.
當通孔排列在跡線之間的交叉處時,通孔在Y軸方向上彼此間隔開的距離S1可如在方程式1中進行計算:(1)When the vias are arranged at intersections between traces, the distance S1 by which the vias are spaced apart from each other in the Y-axis direction can be calculated as in Equation 1: (1)
其中n可標示正整數。當根據設計規則界定的各通孔之間的最小距離大於Mx層的跡線之間的節距時,n可為等於或大於2的整數。在方程式1中,{Mx跡線節距}可指圖4的Mx層的跡線(例如,跡線TR11到跡線TR15)之間的節距,且標示與任意通孔陣列的通孔連接的導電層中具有與X軸平行的跡線的導電層中的跡線之間的節距。在方程式1中,{通孔長度}可指通孔(例如,通孔V11到V14)在Y軸方向上的長度。即,在通孔陣列中在Y軸方向上的通孔之間的節距可為Mx層的跡線之間的節距的倍數。Where n may indicate a positive integer. n may be an integer equal to or greater than 2 when the minimum distance between via holes defined according to design rules is greater than the pitch between traces of the Mx layer. In
相似地,通孔在X軸方向上彼此間隔開的距離S2可如在方程式2中進行計算:(2),Similarly, the distance S2 by which the vias are spaced apart from each other in the X-axis direction can be calculated as in Equation 2: (2),
其中m可為正整數。當根據設計規則界定的各通孔之間的最小距離大於Mx+1層的跡線之間的節距時,m可為等於或大於2的整數。在方程式2中,{Mx+1跡線節距}可指圖4的Mx+1層的跡線(例如,跡線TR21到TR25)之間的節距,且標示與任意通孔陣列的通孔連接的導電層中具有與Y軸平行的跡線的導電層中的跡線之間的節距。此外,在方程式2中,{通孔寬度}可指通孔(例如,通孔V11到V14)在X軸方向上的長度。即,在通孔陣列中在X軸方向上的通孔之間的節距可為Mx+1層的跡線之間的節距的倍數。Where m can be a positive integer. When the minimum distance between via holes defined according to the design rules is greater than the pitch between traces of the Mx+1 layer, m may be an integer equal to or greater than 2. In
圖5是根據本發明概念的示例性實施例的通孔堆疊的實例的透視圖。具體來說,圖5示出包括條形通孔的通孔陣列及包括通孔陣列的通孔堆疊。FIG. 5 is a perspective view of an example of a via stack according to an exemplary embodiment of the inventive concept. Specifically, FIG. 5 shows a via array including strip-shaped vias and a via stack including the via array.
參照圖5,在通孔堆疊中,通孔陣列可包括條形通孔。條形通孔可指在X軸方向和/或Y軸方向上具有相對大的長度的通孔,例如長度大於導電圖案的寬度(例如,大於相鄰層中的一個或多個導電圖案的寬度)的通孔。舉例來說,如圖5所示,1×2通孔陣列可包括在Mx層與Mx+1層之間的在Y軸方向上具有大的長度的通孔V11及V12,且1×2通孔陣列也可包括Mx+1層與Mx+2層之間的在Y軸方向上具有大的長度的通孔V21及V22。隨著通孔的橫截面積(即,通孔在與由X軸及Y軸形成的平面平行的表面中的面積)增大,由通孔造成的IR降可減小。因此,如圖5所示,可使用具有大的橫截面積的通孔。Referring to FIG. 5 , in the via stack, the via array may include strip-shaped vias. The strip-shaped via may refer to a via having a relatively large length in the X-axis direction and/or the Y-axis direction, for example, the length is greater than the width of the conductive pattern (eg, greater than the width of one or more conductive patterns in adjacent layers) ) through holes. For example, as shown in FIG. 5, a 1×2 via array may include vias V11 and V12 having a large length in the Y-axis direction between the Mx layer and the Mx+1 layer, and the 1×2 vias The hole array may also include via holes V21 and V22 having a large length in the Y-axis direction between the Mx+1 layer and the Mx+2 layer. As the cross-sectional area of the via (ie, the area of the via in a surface parallel to the plane formed by the X and Y axes) increases, the IR drop caused by the via may decrease. Therefore, as shown in FIG. 5, a via hole having a large cross-sectional area can be used.
在一些實施例中,條形通孔可沿著跡線排列,且與沿著跡線延伸的導電圖案交疊。舉例來說,如圖5所示,與Mx層的導電圖案P11的頂表面及Mx+1層的導電圖案P21的底表面連接的通孔V11可沿著Mx+1層的跡線TR21對準與Mx+1層的導電圖案P21的頂表面及Mx+2層的導電圖案P31的底表面連接的通孔V21。相似地,通孔V12及V22也可沿著Mx+1層的跡線TR23彼此對準。因此,Mx+1層的導電圖案P23可沿著在Y軸方向上的跡線TR22延伸。In some embodiments, the strip-shaped vias may be arranged along the trace and overlap the conductive pattern extending along the trace. For example, as shown in FIG. 5, a via V11 connected to the top surface of the conductive pattern P11 of the Mx layer and the bottom surface of the conductive pattern P21 of the Mx+1 layer may be aligned along the trace TR21 of the Mx+1 layer. The via hole V21 connected to the top surface of the conductive pattern P21 of the Mx+1 layer and the bottom surface of the conductive pattern P31 of the Mx+2 layer. Similarly, the vias V12 and V22 can also be aligned with each other along the trace TR23 of the Mx+1 layer. Accordingly, the conductive pattern P23 of the Mx+1 layer may extend along the trace TR22 in the Y-axis direction.
在一些實施例中,條形通孔可被排列成將跡線的犧牲最小化。舉例來說,通孔V11、V12、V21及V22可對準跡線TR21及TR23,以將Mx+1層的跡線的犧牲最小化,且在Y軸方向上的通孔V11、V12、V21及V22的位置可基於在Y軸方向上的通孔V11、V12、V21及V22的長度來確定。如以下參照圖6所述,被犧牲的跡線的數目可根據條形通孔的位置而改變,使得條形通孔的位置可被確定成將被犧牲的跡線的數目最小化。儘管包括條形通孔的1×2通孔陣列的實例示於圖5中,然而在一些實施例中,通孔堆疊可包括包含條形通孔的1×3或大於1×3的1維通孔陣列,且在另一些實施例中,通孔堆疊可包括包含條形通孔的2×2或大於2×2的2維通孔陣列。In some embodiments, the strip vias may be arranged to minimize sacrifice of traces. For example, vias V11, V12, V21, and V22 can be aligned with traces TR21 and TR23 to minimize the sacrifice of the traces of the Mx+1 layer, and vias V11, V12, V21 in the Y-axis direction The positions of V22 and V22 can be determined based on the lengths of the through holes V11, V12, V21 and V22 in the Y-axis direction. As described below with reference to FIG. 6 , the number of sacrificed traces may vary according to the location of the via sliver such that the location of the via sliver may be determined to minimize the number of traces that are sacrificed. Although an example of a 1×2 via array including strip-shaped vias is shown in FIG. Via arrays, and in other embodiments, via stacks may include 2×2 or greater than 2×2 2-dimensional via arrays including strip-shaped vias.
圖6是根據本發明概念的示例性實施例的通孔陣列的實例的圖。具體來說,圖6示出被犧牲的跡線的數目根據通孔陣列的排列而改變的實例。如圖6所示,1×2通孔陣列可包括位於Mx層與Mx+1層之間的通孔V11及V12,且通孔V11及V12可為條形通孔。通孔V11及V12可具有與Mx+1層的導電圖案P21及P22在X軸方向上的寬度相等的長度,且在Y軸方向上具有相對大的長度W0(例如,大於Mx+1層的導電圖案P21及P22在X軸方向上的寬度)。FIG. 6 is a diagram of an example of a via array according to an exemplary embodiment of the inventive concept. Specifically, FIG. 6 shows an example where the number of sacrificed traces changes according to the arrangement of the via array. As shown in FIG. 6 , the 1×2 via array may include vias V11 and V12 located between the Mx layer and the Mx+1 layer, and the vias V11 and V12 may be strip-shaped vias. The via holes V11 and V12 may have a length equal to the width of the conductive patterns P21 and P22 of the Mx+1 layer in the X-axis direction, and have a relatively large length W0 in the Y-axis direction (for example, greater than that of the Mx+1 layer). The width of the conductive patterns P21 and P22 in the X-axis direction).
參照圖6的最左側實例,1×2通孔陣列的通孔V11及V12可沿著Mx+1層的跡線TR22及TR24排列,且沿著Mx層的跡線TR13排列。即,通孔V11及V12可被排列成使得通孔V11及V12的區段的中心與Mx+1層的跡線TR22及TR24以及Mx層的跡線TR13交疊。Mx層的導電圖案P11可在X軸方向上延伸以提供通孔V11及V12的通孔交疊,且具有與通孔V11及V12在Y軸方向上的長度W0相等的寬度。此外,Mx+1層的導電圖案P21及P22可在Y軸方向上延伸以提供通孔V11及V12的通孔交疊。Referring to the leftmost example of FIG. 6 , the vias V11 and V12 of the 1×2 via array may be arranged along the traces TR22 and TR24 of the Mx+1 layer, and along the trace TR13 of the Mx layer. That is, the vias V11 and V12 may be arranged such that the centers of the sections of the vias V11 and V12 overlap the traces TR22 and TR24 of the Mx+1 layer and the trace TR13 of the Mx layer. The conductive pattern P11 of the Mx layer may extend in the X-axis direction to provide a via overlap of the vias V11 and V12 and have a width equal to the length W0 of the vias V11 and V12 in the Y-axis direction. In addition, the conductive patterns P21 and P22 of the Mx+1 layer may extend in the Y-axis direction to provide via overlap of the vias V11 and V12.
兩個跡線TR22及TR24可因導電圖案P21及P22而在Mx+1層中被犧牲,而三個跡線TR12到TR14可因導電圖案P11而在Mx層中被犧牲。由於Mx層的跡線TR13與導電圖案P11交叉,因此Mx層的跡線TR13可被犧牲。然而,當導電圖案被排列成沿著Mx層的在X軸方向上的跡線TR12及TR14延伸時,所述導電圖案與導電圖案P11之間的距離可違反根據設計規則界定的最小距離,因而使得跡線TR12及TR14可被犧牲。因此,在圖6的最左側實例中,使用Mx層的跡線中的三個跡線TR12到TR14執行佈線操作可受到限制。Two traces TR22 and TR24 may be sacrificed in the Mx+1 layer due to conductive patterns P21 and P22, while three traces TR12-TR14 may be sacrificed in the Mx layer due to conductive pattern P11. Since the trace TR13 of the Mx layer crosses the conductive pattern P11, the trace TR13 of the Mx layer may be sacrificed. However, when the conductive pattern is arranged to extend along the traces TR12 and TR14 of the Mx layer in the X-axis direction, the distance between the conductive pattern and the conductive pattern P11 may violate the minimum distance defined according to the design rule, thus This allows traces TR12 and TR14 to be sacrificed. Therefore, in the leftmost example of FIG. 6 , performing wiring operations using three traces TR12 to TR14 among the traces of the Mx layer may be limited.
參照圖6的最右側實例,在圖6的最左側實例中示出的通孔堆疊可在Y軸方向上重新定位。因此,如圖6的最右側實例所示,1×2通孔陣列的通孔V11及V12可沿著Mx+1層的跡線TR22及TR24延伸,且也沿著Mx層的跡線TR13與跡線TR14之間的中心線X1延伸。即,通孔V11及V12可被排列成使得通孔V11及V12的區段的中心與中心線X1交疊,中心線X1可沿著Mx層的跡線TR13與跡線TR14之間的中心延伸且在X軸方向上延伸。Referring to the rightmost example of FIG. 6 , the via stack shown in the leftmost example of FIG. 6 is repositionable in the Y-axis direction. Thus, as shown in the rightmost example of FIG. 6, vias V11 and V12 of the 1×2 via array may extend along traces TR22 and TR24 of
兩個跡線TR22及TR24可因導電圖案P21及P22而在Mx+1層中被犧牲,而兩個跡線TR13及TR14可因在Y軸方向上具有長度W0的導電圖案P11而在Mx層中被犧牲。即,由於Mx層的跡線TR13及TR14與導電圖案P11交叉,因此Mx層的跡線TR13及TR14可被犧牲。然而,即使導電圖案P12及P13被排列成在X軸方向上沿著Mx層的跡線TR12及TR15延伸,然而由於導電圖案P12及P13與導電圖案P11間隔開根據設計規則界定的至少一最小距離,因此可遵守所述設計規則。因此,在圖6的最右側實例中,使用Mx層的跡線中的兩個跡線TR13及TR14執行佈線操作可受到限制。如上所述,被犧牲的跡線的數目可根據包括條形通孔的相同通孔陣列的位置而改變。以下,將參照圖7A到圖7C來闡述被排列成減少被犧牲的跡線數目的通孔陣列的實例。Two traces TR22 and TR24 can be sacrificed in Mx+1 layer due to conductive patterns P21 and P22, while two traces TR13 and TR14 can be sacrificed in Mx layer due to conductive pattern P11 having length W0 in the Y-axis direction. was sacrificed. That is, since the traces TR13 and TR14 of the Mx layer cross the conductive pattern P11 , the traces TR13 and TR14 of the Mx layer may be sacrificed. However, even though the conductive patterns P12 and P13 are arranged to extend along the traces TR12 and TR15 of the Mx layer in the X-axis direction, since the conductive patterns P12 and P13 are spaced apart from the conductive pattern P11 by at least a minimum distance defined according to the design rule , so the design rules can be obeyed. Therefore, in the rightmost example of FIG. 6 , performing wiring operations using two traces TR13 and TR14 among the traces of the Mx layer may be limited. As noted above, the number of traces sacrificed may vary depending on the location of the same via array including the sliver vias. Hereinafter, an example of a via array arranged to reduce the number of sacrificed traces will be explained with reference to FIGS. 7A to 7C .
圖7A到圖7C是根據本發明概念的示例性實施例的通孔陣列的實例的圖。具體來說,圖7A示出包括沿著Mx層的跡線TR13與跡線TR14之間的中心線X2排列的通孔V11及V12的1×2通孔陣列,且圖7B及圖7C分別示出包括沿著Mx層的跡線TR13排列的通孔V11及V12的1×2通孔陣列。以下,將省略圖7A到圖7C的冗餘說明。7A to 7C are diagrams of an example of a via array according to an exemplary embodiment of the inventive concept. Specifically, FIG. 7A shows a 1×2 via array including vias V11 and V12 arranged along the center line X2 between the trace TR13 and the trace TR14 of the Mx layer, and FIG. 7B and FIG. 7C respectively show A 1×2 via array comprising vias V11 and V12 arranged along trace TR13 of the Mx layer is produced. Hereinafter, redundant descriptions of FIGS. 7A to 7C will be omitted.
參照圖7A,通孔V11及V12可在Y軸方向上具有長度W1。通孔V11及V12可連接到Mx層的導電圖案P12的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。Mx層的跡線TR13及TR14可因具有寬度W1的導電圖案P12而被犧牲,而導電圖案P11及P13可沿著在X軸方向上的跡線TR12及TR15延伸。Referring to FIG. 7A , the through holes V11 and V12 may have a length W1 in the Y-axis direction. The vias V11 and V12 may be connected to the top surface of the conductive pattern P12 of the Mx layer, and connected to the bottom surfaces of the conductive patterns P21 and P22 of the Mx+1 layer, respectively. The traces TR13 and TR14 of the Mx layer may be sacrificed due to the conductive pattern P12 having a width W1, and the conductive patterns P11 and P13 may extend along the traces TR12 and TR15 in the X-axis direction.
為了減少因通孔陣列而被犧牲的Mx層的跡線的數目,當Mx層的因通孔陣列而引起的導電圖案的寬度W滿足方程式3時,通孔陣列的通孔可沿著相鄰的跡線之間的中心線排列。In order to reduce the number of traces of the Mx layer sacrificed due to the via array, when the width W of the conductive pattern of the Mx layer due to the via array satisfies
(3)。 (3).
在圖7A及方程式3中,W標示Mx層的連接到通孔陣列的導電圖案的寬度(例如,導電圖案P12的W1),M標示Mx層的不連接到通孔陣列的導電圖案(例如,導電圖案P11)的寬度,P標示跡線之間的Mx層的節距,S標示Mx層的導電圖案之間的最小距離,所述最小距離是根據設計規則來界定,且n標示正整數。在滿足方程式3的通孔陣列(或導電圖案)中,偶數個跡線可在Mx層中被犧牲。In FIG. 7A and
參照圖7B,通孔V11及V12可在Y軸方向上具有寬度W2。通孔V11及V12可連接到Mx層的導電圖案P12的頂表面,且分別連接到Mx+1層的導電圖案P21及P22的底表面。Mx層的跡線TR12到TR14可因具有寬度W2的導電圖案P12而被犧牲,而導電圖案P11及P13可沿著在X軸方向上的跡線TR11及TR15延伸。Referring to FIG. 7B , the via holes V11 and V12 may have a width W2 in the Y-axis direction. The vias V11 and V12 may be connected to the top surface of the conductive pattern P12 of the Mx layer, and connected to the bottom surfaces of the conductive patterns P21 and P22 of the Mx+1 layer, respectively. The traces TR12 to TR14 of the Mx layer may be sacrificed for the conductive pattern P12 having a width W2, and the conductive patterns P11 and P13 may extend along the traces TR11 and TR15 in the X-axis direction.
為了減少因通孔陣列而被犧牲的Mx層的跡線的數目,當Mx層的因通孔陣列而引起的導電圖案的寬度W滿足方程式4時,通孔陣列的通孔可沿著跡線排列。In order to reduce the number of traces of the Mx layer sacrificed due to the via array, when the width W of the conductive pattern of the Mx layer due to the via array satisfies Equation 4, the vias of the via array can be along the trace arrangement.
(4), (4),
在圖7B及方程式4中,W可為Mx層的連接到通孔陣列的導電圖案的寬度(例如,導電圖案P12的W2),M標示Mx層的不連接到通孔陣列的寬度(例如,導電圖案P11),P可為跡線之間的Mx層的節距,S可為Mx層的導電圖案之間的最小距離,所述最小距離是根據設計規則來界定,且n可為正整數。在滿足方程式4的通孔陣列(或導電圖案)中,奇數個跡線可在Mx層中被犧牲。In FIG. 7B and Equation 4, W may be the width of the conductive pattern of the Mx layer connected to the via array (for example, W2 of the conductive pattern P12), and M indicates the width of the Mx layer not connected to the via array (for example, Conductive pattern P11), P can be the pitch of the Mx layer between the traces, S can be the minimum distance between the conductive patterns of the Mx layer, the minimum distance is defined according to design rules, and n can be a positive integer . In a via array (or conductive pattern) satisfying Equation 4, an odd number of traces can be sacrificed in the Mx layer.
參照圖7C,在一些實施例中,通孔可具有比導電圖案的寬度小的長度。舉例來說,如圖7C所示,Mx層的導電圖案P12可在Y軸方向上具有寬度W3,而通孔V11及V12可在Y軸方向上具有比寬度W3小的長度L1。Mx層的導電圖案P12可對準Mx層的跡線TR13(例如,以跡線TR13為中心)從而滿足方程式4,而長度L1小於Mx層的導電圖案P12的寬度W3的通孔V11及V12可分別排列在Mx層的跡線TR13與Mx+1層的跡線TR22及TR24之間的交叉處,如圖7C所示。Referring to FIG. 7C , in some embodiments, the via hole may have a length smaller than the width of the conductive pattern. For example, as shown in FIG. 7C , the conductive pattern P12 of the Mx layer may have a width W3 in the Y-axis direction, and the via holes V11 and V12 may have a length L1 smaller than the width W3 in the Y-axis direction. The conductive pattern P12 of the Mx layer may be aligned with (eg, centered on) the trace TR13 of the Mx layer so as to satisfy Equation 4, and the vias V11 and V12 whose length L1 is smaller than the width W3 of the conductive pattern P12 of the Mx layer may be They are respectively arranged at the intersections of the trace TR13 of the Mx layer and the traces TR22 and TR24 of the Mx+1 layer, as shown in FIG. 7C .
圖8是根據本發明概念的示例性實施例的一種製造積體電路的方法的流程圖。在一些實施例中,圖8所示操作S200、S400、S600及S800中的至少一些可由計算系統(例如,圖12所示300)來執行。FIG. 8 is a flowchart of a method of manufacturing an integrated circuit according to an exemplary embodiment of the inventive concept. In some embodiments, at least some of operations S200 , S400 , S600 and S800 shown in FIG. 8 may be performed by a computing system (eg, 300 shown in FIG. 12 ).
在操作S200中,可基於有關標準胞元庫D12的電阻電晶體邏輯(resistor-transistor logic,RTL)數據D11來執行產生網表數據D13的邏輯合成操作。RTL數據D11可界定積體電路的功能且以例如超高速積體電路(very-high-speed integrated circuit,VHSIC)硬件描述語言(VHSIC hardware description language,VHDL)及委瑞羅格(Verilog)等硬件描述語言(hardware description language,HDL)進行寫入,但本發明概念並非僅限於此。標準胞元庫D12可界定標準胞元的功能及性質。半導體設計工具(例如,邏輯合成工具)可基於有關標準胞元庫D12的RTL數據D11來執行邏輯合成操作並產生包括位串流(bitstream)和/或網表(netlist)的網表數據D13,以界定積體電路,即界定多個標準胞元及標準胞元之間的連接關係。In operation S200 , a logic synthesis operation for generating netlist data D13 may be performed based on resistor-transistor logic (RTL) data D11 about the standard cell library D12 . The RTL data D11 can define the function of the integrated circuit and can be described in hardware such as very-high-speed integrated circuit (VHSIC) hardware description language (VHSIC hardware description language, VHDL) and Verilog (Verilog). description language (hardware description language, HDL), but the concept of the present invention is not limited thereto. The standard cell library D12 can define the functions and properties of standard cells. A semiconductor design tool (for example, a logic synthesis tool) may perform a logic synthesis operation based on the RTL data D11 of the standard cell library D12 and generate netlist data D13 including a bitstream (bitstream) and/or a netlist (netlist), To define the integrated circuit, that is to define a plurality of standard cells and the connection relationship between the standard cells.
操作S400中,可基於有關標準胞元庫D12及設計規則D14的網表數據D13來執行產生佈局數據D15的放置及佈線(place & route,P&R)操作。標準胞元庫D12可界定標準胞元的佈局,且設計規則D14可根據半導體製程界定積體電路的佈局所遵守的規則(例如,操作S800)。舉例來說,設計規則D14可界定導電層的跡線的方向及節距、導電層中的導電圖案之間的最小距離、導電層中的導電圖案的寬度以及位於相同水平高度處的通孔之間的最小距離。In operation S400, a place & route (P&R) operation for generating layout data D15 may be performed based on the netlist data D13 of the standard cell library D12 and the design rule D14. The standard cell library D12 can define the layout of the standard cells, and the design rule D14 can define rules to be followed by the layout of the integrated circuit according to the semiconductor manufacturing process (for example, operation S800 ). For example, design rule D14 may define the direction and pitch of the traces of the conductive layer, the minimum distance between conductive patterns in the conductive layer, the width of the conductive patterns in the conductive layer, and the distance between via holes located at the same level. the minimum distance between.
半導體設計工具(例如,P&R工具)可基於有關標準胞元庫D12的網表數據D13來排列多個標準胞元且對有關設計規則D14排列的所述多個標準胞元的輸入引腳、輸出引腳及電源突片(power tab)進行佈線。佈線操作可包括產生包括通孔和/或導電圖案的互連。此外,半導體設計工具可產生多個通孔堆疊以減小IR降。如以上參照圖式所述,通孔堆疊中的每一者中所包括的通孔陣列的通孔可在一些實施例中排列在導電層(例如,相鄰的導電層)的跡線之間的交叉處,或者可在另一些實施例中沿著各跡線之間的中心線排列。因此,通孔堆疊可提供減小的IR降並減少佈線擁塞。以下將參照圖9闡述操作S400的實例。A semiconductor design tool (for example, a P&R tool) can arrange a plurality of standard cells based on the netlist data D13 of the standard cell library D12 and input pins, output pins and power tabs for wiring. Routing operations may include creating interconnects including vias and/or conductive patterns. Additionally, semiconductor design tools can generate multiple via stacks to reduce IR drop. As described above with reference to the drawings, the vias of the via array included in each of the via stacks may in some embodiments be arranged between traces of a conductive layer (eg, adjacent conductive layers) intersections, or in other embodiments along the centerline between the traces. Thus, via stacking can provide reduced IR drop and reduce routing congestion. An example of operation S400 will be explained below with reference to FIG. 9 .
在操作S600中,可執行製造掩模的操作。舉例來說,可對佈局數據D15施加光學鄰近校正(optical proximity correction,OPC),可對掩模上的圖案進行界定以在多個層上形成圖案,且可製造至少一個掩模(或光掩模)以在所述多個層中的每一者上形成圖案。In operation S600, an operation of manufacturing a mask may be performed. For example, optical proximity correction (OPC) can be applied to the layout data D15, patterns on the mask can be defined to form patterns on multiple layers, and at least one mask (or photomask mold) to form a pattern on each of the plurality of layers.
在操作S800中,可執行製作積體電路的操作。舉例來說,可使用在操作S600中製造的至少一個掩模對多個層進行圖案化,由此製作積體電路。如圖8所示,操作S800可包括操作S820及S840。In operation S800, an operation of fabricating an integrated circuit may be performed. For example, a plurality of layers may be patterned using at least one mask manufactured in operation S600, thereby fabricating an integrated circuit. As shown in FIG. 8, operation S800 may include operations S820 and S840.
在操作S820中,可執行FEOL製程。FEOL製程可指在積體電路製作製程中在襯底上形成各別元件(例如,電晶體、電容器和/或電阻器)的製程。舉例來說,FEOL製程可包括:對晶片進行平坦化及清潔、形成溝槽、形成阱、形成閘極線及形成源極及汲極。因此,可形成在多個標準胞元中所包括的元件。In operation S820, a FEOL process may be performed. A FEOL process may refer to a process in which individual components (eg, transistors, capacitors, and/or resistors) are formed on a substrate during an integrated circuit fabrication process. For example, the FEOL process may include: planarizing and cleaning the wafer, forming trenches, forming wells, forming gate lines, and forming sources and drains. Thus, elements included in multiple standard cells can be formed.
在操作S840中,可執行BEOL製程。BEOL製程可指在積體電路製作製程中對各別元件(例如,電晶體、電容器和/或電阻器)進行互連的製程。舉例來說,BEOL製程可包括:對閘極區、源極區和/或汲極區進行矽化、添加介電材料、執行平坦化製程、形成孔、添加金屬層、形成通孔和/或形成鈍化層。可在BEOL製程(即操作S840)中形成通孔堆疊。接下來,可以半導體封裝對積體電路進行封裝,且使用所述積體電路作為各種應用的組件。In operation S840, a BEOL process may be performed. A BEOL process may refer to the process of interconnecting individual components (eg, transistors, capacitors, and/or resistors) in an integrated circuit fabrication process. For example, the BEOL process may include: siliciding the gate, source, and/or drain regions, adding dielectric material, performing a planarization process, forming holes, adding metal layers, forming vias, and/or forming passivation layer. The via stack may be formed in a BEOL process (ie, operation S840). Next, the integrated circuit may be packaged in a semiconductor package and used as a component for various applications.
圖9是根據本發明概念的示例性實施例的圖8所示操作S400的實例的流程圖。如以上參照圖8所述,在圖9所示操作S400'中,可參考標準胞元庫D12及設計規則D14來執行放置及佈線(P&R)操作。如圖9所示,操作S400'可包括多個操作S420、S440及S460。以下,將參照圖8闡述圖9的流程圖。FIG. 9 is a flowchart of an example of operation S400 shown in FIG. 8 according to an exemplary embodiment of the inventive concept. As described above with reference to FIG. 8 , in operation S400 ′ shown in FIG. 9 , a place and route (P&R) operation may be performed with reference to the standard cell library D12 and the design rule D14 . As shown in FIG. 9 , operation S400' may include a plurality of operations S420, S440 and S460. Hereinafter, the flowchart of FIG. 9 will be explained with reference to FIG. 8 .
在操作S420中,可執行添加通孔堆疊的操作。舉例來說,在排列多個標準胞元之後,可執行添加通孔堆疊的操作,所述通孔堆疊被配置成對電源網的電源線與電源軌進行互連。在一些實施例中,可添加用於信號而非電源供應電壓的通孔堆疊。以下將參照圖10闡述操作S420的實例。In operation S420, an operation of adding a via stack may be performed. For example, after arranging a plurality of standard cells, an operation of adding a via stack configured to interconnect the power lines and power rails of the power net may be performed. In some embodiments, via stacks for signal rather than power supply voltages may be added. An example of operation S420 will be explained below with reference to FIG. 10 .
在操作S440中,可執行通過通孔堆疊產生導電圖案的操作。在操作S420中添加的通孔堆疊可包括包含通孔的通孔陣列,所述通孔沿著導電層的跡線排列或者排列在導電層的跡線之間的交叉處。因此,可減少因通孔堆疊而被犧牲的跡線的數目。如以上參照圖3A到圖3C所述,當根據設計規則界定的位於相同水平高度處的通孔之間的最小距離大於跡線之間的節距時,可產生導電圖案,所述導電圖案可從通孔陣列的通孔之間通過且與所述通孔絕緣。因此,可使用通過通孔堆疊而形成的導電圖案來對信號和/或電源供應電壓進行佈線。In operation S440, an operation of generating a conductive pattern by via stacking may be performed. The via stack added in operation S420 may include a via array including vias arranged along the traces of the conductive layer or at intersections between the traces of the conductive layer. Thus, the number of traces sacrificed due to via stacking can be reduced. As described above with reference to FIGS. 3A to 3C , when the minimum distance between via holes at the same level defined according to design rules is greater than the pitch between traces, a conductive pattern can be generated, which can passing between the through holes of the through hole array and insulated from the through holes. Accordingly, signal and/or power supply voltages may be routed using conductive patterns formed through via stacks.
在操作S460中,可執行產生佈局數據的操作。如以上參照圖8所述,佈局數據D15可界定積體電路的佈局,可具有例如圖形數據系統II(Graphic Data System II,GDSII)等格式,且可包括關於標準胞元及互連的幾何信息。In operation S460, an operation of generating layout data may be performed. As described above with reference to FIG. 8, the layout data D15 may define the layout of the integrated circuit, may have a format such as Graphic Data System II (GDSII), and may include geometric information about standard cells and interconnections. .
圖10是根據本發明概念的示例性實施例的圖9所示操作S420的實例的流程圖。如以上參照圖9所述,可在圖10的操作S420'中執行添加通孔堆疊的操作。如圖10所示,操作S420'可包括操作S422及操作S424。在一些實施例中,可同時執行操作S422及操作S424。FIG. 10 is a flowchart of an example of operation S420 shown in FIG. 9 according to an exemplary embodiment of the inventive concept. As described above with reference to FIG. 9 , the operation of adding a via stack may be performed in operation S420 ′ of FIG. 10 . As shown in FIG. 10 , operation S420' may include operation S422 and operation S424. In some embodiments, operation S422 and operation S424 may be performed simultaneously.
在操作S422中,可執行將通孔排列在相鄰的導電層的跡線之間的交叉處的操作。舉例來說,如以上參照圖4所述,通孔陣列的通孔(例如,V11到V14)可排列在相鄰的導電層(例如,Mx層及Mx+1層)的跡線(例如,TR12、TR14、TR22及TR24)之間的交叉處。因此,可減少被犧牲的跡線,由此增加可佈線跡線。In operation S422, an operation of arranging via holes at intersections between traces of adjacent conductive layers may be performed. For example, as described above with reference to FIG. 4 , the vias (eg, V11 to V14 ) of the via array may be arranged on traces (eg, TR12, TR14, TR22 and TR24). Thus, fewer traces are sacrificed, thereby increasing routable traces.
在操作S424中,可執行基於條形通孔的長度排列通孔陣列的操作。舉例來說,如以上參照圖6所述,被犧牲的跡線的數目可根據條形通孔的位置來變化。因此,如參照圖7A到圖7C所述,條形通孔可基於條形通孔的長度沿著跡線或跡線之間的中心線排列。舉例來說,當條形通孔在一個方向上的寬度W滿足方程式3時,條形通孔可沿著圖7A所示跡線之間的中心線排列。另一方面,當條形通孔在一個方向上的寬度W滿足方程式4時,條形通孔可沿著圖7B所示跡線排列。因此,被犧牲的跡線的數目可因包括條形通孔的通孔陣列而減少,且可增加可佈線的跡線。In operation S424, an operation of arranging the via array based on the length of the bar-shaped via may be performed. For example, as described above with reference to FIG. 6, the number of sacrificed traces may vary according to the location of the sliver via. Accordingly, as described with reference to FIGS. 7A to 7C , the via stripes may be arranged along the traces or the centerline between the traces based on the length of the via stripes. For example, when the width W of the via strips in one direction satisfies
圖11是根據本發明概念的示例性實施例的片上系統(System-on-Chip,SoC)200的方塊圖。可為半導體裝置的片上系統200可包括根據本發明概念的示例性實施例的包括通孔堆疊的積體電路。片上系統200可實現為集成有能夠進行各種功能的複雜功能區塊(例如,知識產權(intellectual property,IP))的單芯片。根據示例性實施例的通孔堆疊可包括在片上系統200的功能區塊中的每一者中。因此,可因減小的IR降及高效佈線的圖案而獲得具有改善的性能及減小的面積的片上系統200。FIG. 11 is a block diagram of a System-on-Chip (SoC) 200 according to an exemplary embodiment of the inventive concept. The system on
參照圖11,片上系統200可包括調制解調器(modem)220、顯示控制器230、記憶體240、外部記憶體控制器250、中央處理器(central processing unit,CPU)260、事務單元(transaction unit)270、電源管理積體電路(power management integrated circuit,PMIC)280及圖形處理單元(graphic processing unit,GPU)290,且片上系統200的各功能區塊可通過系統總線(system bus)210彼此通信。Referring to FIG. 11 , the system-on-
中央處理器260可被配置成控制片上系統200的總體操作,且可控制片上系統200的其他功能區塊的操作。調制解調器220可解調從片上系統200的外部接收的信號或者對從片上系統200的內部產生的信號進行調製,並將經解調的信號或經調製的信號傳送到外部。外部記憶體控制器250可控制向連接到片上系統200的外部記憶體裝置傳送數據及從連接到片上系統200的外部記憶體裝置接收數據的操作。舉例來說,可經由外部記憶體控制器250的控制將存儲在外部記憶體裝置中的程序和/或數據提供到中央處理器260和/或圖形處理單元290。圖形處理單元290可執行關聯到圖形處理操作的程序指令,但本發明概念並非僅限於此。圖形處理單元290可通過外部記憶體控制器250接收圖形數據,和/或經圖形處理單元290處理的圖形數據可經由外部記憶體控制器250傳送到片上系統200的外部。事務單元270可監測各功能區塊的數據事務,且電源管理積體電路280可經由事務單元270的控制來控制被供應到每一功能區塊的電力。顯示控制器230可控制位於片上系統200外部的顯示器(或顯示裝置)並將在片上系統200中產生的數據傳送到顯示器。The
記憶體240可包括非易失性記憶體,例如電可擦可編程只讀記憶體(electrically erasable programmable read-only memory,EEPROM)、閃存記憶體、相變隨機存取記憶體(phase-change random access memory,PRAM)、電阻式隨機存取記憶體(resistive RAM,RRAM)、納米浮置閘極記憶體(nano-floating gate memory,NFGM)、聚合物隨機存取記憶體(polymer RAM,PoRAM)、磁性隨機存取記憶體(magnetic RAM,MRAM)及鐵電隨機存取記憶體(ferroelectric RAM,FRAM);或易失性記憶體,例如動態隨機存取記憶體(dynamic RAM,DRAM)、靜態隨機存取記憶體(static RAM,SRAM)、移動DRAM、雙倍數據速率同步動態隨機存取記憶體(double-data-rate synchronous dynamic RAM,DDR SDRAM)、低功率雙倍數據速率(low-power DDR,LPDDR)、同步動態隨機存取記憶體(synchronous dynamic RAM,SDRAM)、圖形雙倍數據速率(graphic DDR,GDDR)SDRAM及蘭巴斯(Rambus)DRAM。
圖12是根據本發明概念的示例性實施例的包括被配置成存儲程序341的記憶體340的計算系統300的方塊圖。根據示例性實施例的製作積體電路的方法(例如,圖8所示方法)中所包括的至少一些操作可由計算系統300執行。FIG. 12 is a block diagram of a
計算系統300可為例如臺式計算機、工作站及服務器等固定型計算系統或例如膝上型計算機等便攜式計算系統。如圖12所示,計算系統300可包括處理器310、輸入/輸出(input/output,I/O)裝置320、網絡接口(network interface)330、隨機存取記憶體(random access memory,RAM)340、只讀記憶體(read only memory,ROM)350和/或存儲裝置360。處理器310、輸入/輸出裝置320、網絡接口330、隨機存取記憶體340、只讀記憶體350及存儲裝置360可連接到總線370且通過總線370相互通信。
處理器310可被稱為處理單元。舉例來說,處理器310可包括至少一個核(例如,微處理器(microprocessor,MP))、應用處理器(application processor,AP)、數字信號處理器(digital signal processor,DSP)及圖形處理單元(GPU)),所述至少一個核可執行任意指令集(例如,因特爾架構-32(Intel Architecture-32,IA-32)、64位延伸IA-32、x86-64、鮑爾(Power)PC、斯帕洛(Sparc)、美普思(MIPS)、高級精簡指令集機器(Advanced RISC Machine,ARM)、IA-64等)。舉例來說,處理器310可通過總線370來存取記憶體(即,隨機存取記憶體340和/或只讀記憶體350)並執行在隨機存取記憶體340和/或只讀記憶體350中存儲的命令。The
隨機存取記憶體340可存儲用於製造根據示例性實施例的積體電路的程序341和/或程序341的至少一部分。程序341可使得處理器310能夠執行製造積體電路的方法中所包括的至少一些操作。即,程序341可包括可由處理器310執行的多個命令。程序341中所包括的所述多個命令可使得處理器310能夠執行例如圖8的操作S200的邏輯合成操作和/或圖8的操作S400的放置及佈線操作。The
即使供應到計算系統300的電力被中斷,存儲裝置360仍不會丟失所存儲的數據。舉例來說,存儲裝置360可包括非易失性記憶體裝置或存儲媒體,例如磁帶、光盤及磁盤。此外,存儲裝置360可以可拆卸方式附接到計算系統300。在一些實施例中,存儲裝置360可存儲根據示例性實施例的程序341。在處理器310執行程序341之前,程序341或程序341的至少一部分可從存儲裝置360加載到隨機存取記憶體340中。在一些實施例中,存儲裝置360可存儲以程序語言寫入的文件,且由編譯器產生的程序341或程序341的至少一部分可從文件加載到隨機存取記憶體340中。此外,如圖12所示,存儲裝置360可存儲數據庫(database,DB)361,數據庫361可包括可用於設計積體電路的信息(例如,圖8的標準胞元庫D12和/或設計規則D14)。Even if the power supplied to the
存儲裝置360可存儲將由處理器310處理的數據和/或經處理器310處理的數據。即,根據程序341,處理器310可處理存儲在存儲裝置360中的數據以產生數據和/或將所產生的數據存儲在存儲裝置360中。舉例來說,存儲裝置360可存儲圖8的RTL數據D11、網表數據D13和/或佈局數據D15。The
輸入/輸出裝置320可包括例如鍵盤和/或定點設備(pointing apparatus)等輸入裝置和/或例如顯示裝置和/或打印機等輸出裝置。舉例來說,用戶可經由輸入/輸出裝置320觸發使用處理器310執行程序341、輸入圖8的RTL數據D11和/或網表數據D13和/或確認圖11的佈局數據D15。Input/
網絡接口330可提供對計算系統300外部的網絡的存取。舉例來說,網絡可包括多個計算系統及多個通信鏈路。通信鏈路可包括有線鏈路、光學鏈路、無線鏈路或其他任意類型的鏈路。
應理解,儘管本文中使用用語“第一”、“第二”等來闡述本發明概念的示例性實施例中的構件、區、層、部分、區段、組件和/或元件,然而所述構件、區、層、部分、區段、組件和/或元件不應受這些用語限制。這些用語僅用於將一個構件、區、部分、區段、組件或元件與另一構件、區、部分、區段、組件或元件區分開。因此,在不背離本發明概念的範圍的條件下,以下闡述的第一構件、區、部分、區段、組件或元件也可被稱為第二構件、區、部分、區段、組件或元件。舉例來說,第一元件也可被稱為第二元件,且類似地,第二元件也可被稱為第一元件,此均不背離本發明概念的範圍。It should be understood that although the terms "first", "second", etc. are used herein to describe members, regions, layers, parts, sections, components and/or elements in exemplary embodiments of the inventive concept, the described A member, region, layer, part, section, component and/or element should not be limited by these terms. These terms are only used to distinguish one member, region, section, section, component or element from another member, region, section, section, component or element. Accordingly, a first member, region, part, section, component or element set forth below could also be termed a second member, region, part, section, component or element without departing from the scope of the inventive concept. . For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, all without departing from the scope of the inventive concept.
為易於說明,本文中可使用例如“位於...之下”、“下方”、“下部的”、“上方”、“上部的”等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。應理解,除圖中所繪示的取向以外,所述空間相對性用語旨在囊括裝置在使用或操作中的不同取向。舉例來說,如果圖中的裝置被翻轉,則被闡述為位於其他元件或特徵“下方”或“之下”的元件將被取向為位於其他元件或特徵“上方”。因此,示例性用語“下方”可囊括上方及下方的取向兩者。裝置可被另外取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語相應地進行解釋。For ease of description, spatially relative terms such as "below", "below", "lower", "above", "upper" and other spatially relative terms may be used herein to describe an element or feature shown in the drawings A relationship to another (other) element or feature. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary term "below" can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
本文中所使用的用語僅用於闡述特定實施例的目的,而並非旨在限制示例性實施例。除非上下文另外清楚地指明,否則本文中所使用的單數形式“一(a、an)”及“所述(the)”旨在也包括複數形式。還應理解,當在本文中使用用語“包括(comprises、comprising、includes和/或including)”時,是指明所述特徵、整數、步驟、操作、元件和/或組件的存在,但並不排除一個或多個其他特徵、整數、步驟、操作、元件、組件和/或其群組的存在或添加。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms "a, an" and "the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It should also be understood that when the term "comprises, comprising, includes and/or including" is used herein, it indicates the existence of said features, integers, steps, operations, elements and/or components, but does not exclude The presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof.
除非另外定義,否則本文中所使用的所有用語(包括技術用語及科學用語)具有與本發明概念所屬領域中的一般技術人員通常所理解的含義相同的含義。還應理解,用語(例如在常用字典中所定義的用語)應被解釋為具有與其在本說明書的上下文及相關技術中的含義一致的含義,且除非在本文中如此定義,否則所述用語不應被解釋為具有理想或過於正式的意義。Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the inventive concept belongs. It should also be understood that terms (such as those defined in commonly used dictionaries) should be interpreted to have a meaning consistent with their meanings in the context of this specification and related art, and that unless so defined herein, the terms are not Should be interpreted as having an ideal or overly formal meaning.
當某一示例性實施例可以不同方式來實現時,可以與所述次序不同的方式來執行具體製程次序。舉例來說,兩個連續闡述的製程可實質上同時執行或以與所述次序相反的次序來執行。When a certain exemplary embodiment can be implemented differently, a specific process sequence can be performed differently from the described order. For example, two consecutively illustrated processes may be performed substantially simultaneously or in an order reverse to that described.
在附圖中,預期會存在由於例如製造技術和/或公差引起的所示形狀的變化。因此,本發明概念的示例性實施例不應被視為僅限於本文所示區的特定形狀,而是可被解釋為包括例如由製造製程引起的形狀的偏差。舉例來說,被示出為矩形形狀的蝕刻區可為圓形形狀或某一彎曲形狀。因此,圖中所示區為示意性的,且圖中所示區的形狀旨在對裝置的區的特定形狀進行說明而並非旨在限制本發明概念的範圍。本文中所使用的用語“和/或”包括相關列出項中的一個或多個項的任意及所有組合。當例如“…中的至少一個”等表達位於一列表元件之前時,是修飾整個列表元件而不是修飾所述列表的各別元件。In the drawings, variations in the shapes shown as a result, for example, of manufacturing techniques and/or tolerances are to be expected. Thus, exemplary embodiments of the inventive concept should not be construed as limited to the particular shapes of regions illustrated herein but are to be construed as including deviations in shapes that result, for example, from manufacturing processes. For example, an etched region shown as a rectangular shape could be a circular shape or some curved shape. Thus, the regions shown in the figures are schematic and their shapes are intended to illustrate the particular shape of a region of a device and are not intended to limit the scope of the inventive concept. As used herein, the term "and/or" includes any and all combinations of one or more of the associated listed items. Expressions such as "at least one of," when preceding a list element, modify the entire list element and do not modify the individual elements of the list.
應理解,當稱一元件“連接到”或“耦合到”另一元件時,所述元件可直接連接到或直接耦合到所述另一元件,抑或可存在中間元件。相反,當稱一元件“直接連接到”或“直接耦合到”另一元件時,則不存在中間元件。用於闡述元件或層之間的關係的其他用詞應以相似的方式進行解釋(例如,“位於...之間”對“直接位於...之間”、“相鄰”對“緊鄰”、“位於...上”對“直接位於...上”)。It will be understood that when an element is referred to as being "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being "directly connected to" or "directly coupled to" another element, there are no intervening elements present. Other words used to describe the relationship between elements or layers should be construed in a like fashion (e.g., "between" vs "directly between", "adjacent" vs "immediately adjacent ", "on" versus "directly on").
相同的編號自始至終指代相同的元件。因此,即使相同或相似的編號在對應的圖式中未提到或未闡述,然而相同或相似的編號仍可參照其他圖式來闡述。此外,參考編號未標示的元件可參照其他圖式來闡述。Like numbers refer to like elements throughout. Therefore, even if the same or similar numbers are not mentioned or illustrated in the corresponding drawings, the same or similar numbers may still be explained with reference to other drawings. In addition, elements without reference numbers may be explained with reference to other drawings.
儘管已經參照本發明概念的實施例具體示出並闡述了本發明概念,然而應理解,在不背離以上申請專利範圍的精神及範圍的條件下,可作出形式及細節上的各種改變。While the inventive concepts have been particularly shown and described with reference to embodiments thereof, it should be understood that various changes in form and details could be made without departing from the spirit and scope of the above claimed claims.
100‧‧‧積體電路FR‧‧‧前段製程(FEOL)區BR‧‧‧後段製程(BEOL)區C11、C12、C21~C24、C31~C33‧‧‧標準胞元111、112、113、114‧‧‧電源軌131、132‧‧‧電源線121、122、123、124‧‧‧通孔堆疊200‧‧‧片上系統210‧‧‧系統總線220‧‧‧調制解調器230‧‧‧顯示控制器240‧‧‧記憶體250‧‧‧外部記憶體控制器260‧‧‧中央處理器270‧‧‧事務單元280‧‧‧電源管理積體電路290‧‧‧圖形處理單元300‧‧‧計算系統310‧‧‧處理器320‧‧‧輸入/輸出裝置330‧‧‧網絡接口340‧‧‧隨機存取記憶體341‧‧‧程序350‧‧‧只讀記憶體360‧‧‧存儲裝置361‧‧‧數據庫370‧‧‧總線TR11~TR16、TR21~TR26、TR31、TR32、TR41‧‧‧跡線P11~P13、P21~P27、P31、P32、P41‧‧‧導電圖案V11~V14、V21~V24、V31~V33‧‧‧通孔S、S1、S2‧‧‧距離W0、L1‧‧‧長度W、W1、W2、W3、M‧‧‧寬度P‧‧‧節距X1、X2‧‧‧中心線D11‧‧‧電阻電晶體邏輯(RTL)數據D12‧‧‧標準胞元庫D13‧‧‧網表數據D14‧‧‧電阻電晶體邏輯(RTL)數據D15‧‧‧佈局數據S200、S400、S400'、S420、S420'、S422、S424、S440、S460、S600、S800、S820、S840‧‧‧操作100‧‧‧Integrated circuit FR‧‧‧Front end of line (FEOL) area BR‧‧‧Back end of line (BEOL) area C11, C12, C21~C24, C31~C33‧‧‧Standard cells 111, 112, 113, 114‧‧‧Power Rails 131, 132‧‧‧Power Lines 121,122,123,124‧‧‧Through Hole Stacking 200‧‧‧System on Chip 210‧‧‧System Bus 220‧‧‧Modem 230‧‧‧Display Control 240‧‧‧memory 250‧‧‧external memory controller 260‧‧‧central processing unit 270‧‧‧business unit 280‧‧‧power management integrated circuit 290‧‧‧graphics processing unit 300‧‧‧calculation System 310‧‧‧processor 320‧‧‧input/output device 330‧‧‧network interface 340‧‧‧random access memory 341‧‧‧program 350‧‧‧read-only memory 360‧‧‧storage device 361 ‧‧‧Database 370‧‧‧Bus TR11~TR16, TR21~TR26, TR31, TR32, TR41‧‧‧Trace P11~P13, P21~P27, P31, P32, P41‧‧‧Conductive pattern V11~V14, V21 ~V24, V31~V33‧‧‧Through hole S, S1, S2‧‧‧distance W0, L1‧‧‧length W, W1, W2, W3, M‧‧‧width P‧‧‧pitch X1, X2‧ ‧‧Center line D11‧‧‧RTL data D12‧‧‧standard cell library D13‧‧netlist data D14‧‧‧RTL data D15‧‧‧layout data S200 , S400, S400', S420, S420', S422, S424, S440, S460, S600, S800, S820, S840‧‧‧operation
通過結合所附圖式閱讀以下詳細說明,將會更清楚地理解本發明概念的實施例,在所附圖式中: 圖1是根據本發明概念的示例性實施例的積體電路(IC)的一部分的透視圖。 圖2A到圖2C是通孔堆疊的實例的圖。 圖3A到圖3C是根據本發明概念的示例性實施例的通孔堆疊的實例的圖。 圖4是根據本發明概念的示例性實施例的通孔堆疊的實例的平面圖。 圖5是根據本發明概念的示例性實施例的通孔堆疊的實例的透視圖。 圖6是根據本發明概念的示例性實施例的通孔陣列的實例的圖。 圖7A到圖7C是根據本發明概念的示例性實施例的通孔陣列的實例的圖。 圖8是根據本發明概念的示例性實施例的一種製造積體電路的方法的流程圖。 圖9是根據本發明概念的示例性實施例的圖8所示操作S400的實例的流程圖。 圖10是根據本發明概念的示例性實施例的圖9所示操作S420的實例的流程圖。 圖11是根據本發明概念的示例性實施例的片上系統(system-on chip,SoC)的方塊圖。 圖12是根據本發明概念的示例性實施例的包括被配置成存儲程序的記憶體的計算系統的方塊圖。Embodiments of the inventive concept will be more clearly understood by reading the following detailed description in conjunction with the accompanying drawings in which: FIG. 1 is an integrated circuit (IC) according to an exemplary embodiment of the inventive concept Perspective view of part of . 2A-2C are diagrams of examples of via stacks. 3A to 3C are diagrams of examples of via stacks according to exemplary embodiments of the inventive concept. FIG. 4 is a plan view of an example of a via stack according to an exemplary embodiment of the inventive concept. FIG. 5 is a perspective view of an example of a via stack according to an exemplary embodiment of the inventive concept. FIG. 6 is a diagram of an example of a via array according to an exemplary embodiment of the inventive concept. 7A to 7C are diagrams of an example of a via array according to an exemplary embodiment of the inventive concept. FIG. 8 is a flowchart of a method of manufacturing an integrated circuit according to an exemplary embodiment of the inventive concept. FIG. 9 is a flowchart of an example of operation S400 shown in FIG. 8 according to an exemplary embodiment of the inventive concept. FIG. 10 is a flowchart of an example of operation S420 shown in FIG. 9 according to an exemplary embodiment of the inventive concept. FIG. 11 is a block diagram of a system-on chip (SoC) according to an exemplary embodiment of the inventive concept. Referring to FIG. FIG. 12 is a block diagram of a computing system including a memory configured to store a program, according to an exemplary embodiment of the inventive concept.
TR11、TR12、TR21~TR25、TR31、TR32‧‧‧跡線 TR11, TR12, TR21~TR25, TR31, TR32‧‧‧trace
P11、P12、P21~P25、P31、P32‧‧‧導電圖案 P11, P12, P21~P25, P31, P32‧‧‧conductive pattern
V11、V12、V21、V22‧‧‧通孔 V11, V12, V21, V22‧‧‧through hole
Claims (25)
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR20170136613 | 2017-10-20 | ||
KR10-2017-0136613 | 2017-10-20 | ||
??10-2017-0136613 | 2017-10-20 | ||
KR10-2018-0055045 | 2018-05-14 | ||
??10-2018-0055045 | 2018-05-14 | ||
KR1020180055045A KR102636096B1 (en) | 2017-10-20 | 2018-05-14 | Integrated circuit including via array and method for manufacturing the same |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201917857A TW201917857A (en) | 2019-05-01 |
TWI803527B true TWI803527B (en) | 2023-06-01 |
Family
ID=66285899
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107136861A TWI803527B (en) | 2017-10-20 | 2018-10-19 | Integrated circuits including via array and methods of manufacturing the same |
Country Status (4)
Country | Link |
---|---|
JP (1) | JP7265853B2 (en) |
KR (1) | KR102636096B1 (en) |
CN (1) | CN117219578A (en) |
TW (1) | TWI803527B (en) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20210070892A (en) * | 2019-12-04 | 2021-06-15 | 삼성전자주식회사 | Semiconductor device and method for manufacturing the same |
JP2023084494A (en) * | 2021-12-07 | 2023-06-19 | キヤノン株式会社 | Semiconductor device, photoelectric conversion device, photoelectric conversion system, and mobile body |
CN117438448A (en) * | 2022-09-09 | 2024-01-23 | 台湾积体电路制造股份有限公司 | Integrated circuit having transistors with source and drain terminals of different widths |
CN116502590B (en) * | 2023-06-29 | 2023-09-22 | 芯耀辉科技有限公司 | Chip power supply connection network component and integrated circuit module |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030067075A1 (en) * | 2000-12-15 | 2003-04-10 | Fujitsu Limited | Semiconductor device having a multiple layer wiring structure, wiring method, wiring device, and recording medium |
US9520358B2 (en) * | 2014-10-30 | 2016-12-13 | Qualcomm Incorporated | Via structure for optimizing signal porosity |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5372535A (en) * | 1976-12-10 | 1978-06-28 | Fujitsu Ltd | Magnetic bubble detecting circuit |
JP3231741B2 (en) * | 1999-06-28 | 2001-11-26 | エヌイーシーマイクロシステム株式会社 | Standard cell, standard cell row, standard cell placement and routing device and placement and routing method |
JP4786836B2 (en) * | 2001-09-07 | 2011-10-05 | 富士通セミコンダクター株式会社 | Wiring connection design method and semiconductor device |
CN1707774A (en) * | 2004-06-10 | 2005-12-14 | 株式会社液晶先端技术开发中心 | Thin-film transistor circuit, design method for thin-film transistor, design program for thin-film transistor circuit, design program recording medium |
JP2009054760A (en) * | 2007-08-27 | 2009-03-12 | Nec Electronics Corp | Semiconductor device, wiring designing method, wiring designing apparatus, and program |
JP5262401B2 (en) * | 2008-08-01 | 2013-08-14 | 富士通セミコンダクター株式会社 | Semiconductor device design method, program, and semiconductor device |
JP5640438B2 (en) | 2010-04-15 | 2014-12-17 | 富士通セミコンダクター株式会社 | Semiconductor device |
JP5554303B2 (en) * | 2011-09-08 | 2014-07-23 | 株式会社東芝 | Semiconductor integrated circuit and design method of semiconductor integrated circuit |
US11239154B2 (en) * | 2015-01-20 | 2022-02-01 | Taiwan Semiconductor Manufacturing Company Ltd. | Fishbone structure enhancing spacing with adjacent conductive line in power network |
JP2017069513A (en) * | 2015-10-02 | 2017-04-06 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
-
2018
- 2018-05-14 KR KR1020180055045A patent/KR102636096B1/en active IP Right Grant
- 2018-10-17 CN CN202311310758.0A patent/CN117219578A/en active Pending
- 2018-10-19 JP JP2018197321A patent/JP7265853B2/en active Active
- 2018-10-19 TW TW107136861A patent/TWI803527B/en active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030067075A1 (en) * | 2000-12-15 | 2003-04-10 | Fujitsu Limited | Semiconductor device having a multiple layer wiring structure, wiring method, wiring device, and recording medium |
US9520358B2 (en) * | 2014-10-30 | 2016-12-13 | Qualcomm Incorporated | Via structure for optimizing signal porosity |
Also Published As
Publication number | Publication date |
---|---|
KR20190044481A (en) | 2019-04-30 |
JP7265853B2 (en) | 2023-04-27 |
TW201917857A (en) | 2019-05-01 |
KR102636096B1 (en) | 2024-02-14 |
JP2019080057A (en) | 2019-05-23 |
CN117219578A (en) | 2023-12-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN109698158B (en) | Integrated circuit including via array and method of manufacturing the same | |
KR102465964B1 (en) | Integrated circuit including multiple height cell and method for manufacturing the same | |
TWI803527B (en) | Integrated circuits including via array and methods of manufacturing the same | |
US11042686B2 (en) | Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit | |
US11126781B2 (en) | Integrated circuit including standard cell and method and system for designing and manufacturing the same | |
CN108695315B (en) | Standard cell and integrated circuit including the same | |
KR102495912B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
US10977407B2 (en) | Superpower gating cell and integrated circuit including the same | |
CN109904151B (en) | Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit | |
KR102238312B1 (en) | Pin modification for standard cells | |
US11423204B1 (en) | System and method for back side signal routing | |
TW201842599A (en) | Integrated circuit | |
KR102358292B1 (en) | Integrated circuit layout, method, structure, and system | |
CN109872990B (en) | Semiconductor device and manufacturing method thereof | |
TWI786131B (en) | Integrated circuit and computer implemented method of generating layout of the integrated circuit | |
KR102440365B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
US20200226316A1 (en) | Cell placement site optimization | |
TW202343569A (en) | Integrated circuit and fabricating method thereof | |
TW202431583A (en) | Integrated circuit | |
Kan et al. | Post-layout Redundant Via Insertion Approach Considering Multiple Via Configuration |