US4524587A
(en)
|
1967-01-10 |
1985-06-25 |
Kantor Frederick W |
Rotary thermodynamic apparatus and method
|
JPS4820850B1
(en)
*
|
1970-11-21 |
1973-06-25 |
|
|
JPS634616A
(en)
|
1986-06-25 |
1988-01-09 |
Hitachi Tokyo Electron Co Ltd |
Steam treating unit
|
JPH0748489B2
(en)
|
1987-07-27 |
1995-05-24 |
富士通株式会社 |
Plasma processing device
|
US4879259A
(en)
|
1987-09-28 |
1989-11-07 |
The Board Of Trustees Of The Leland Stanford Junion University |
Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
|
US5092728A
(en)
*
|
1987-10-15 |
1992-03-03 |
Epsilon Technology, Inc. |
Substrate loading apparatus for a CVD process
|
US5114513A
(en)
|
1988-10-27 |
1992-05-19 |
Omron Tateisi Electronics Co. |
Optical device and manufacturing method thereof
|
JP2730695B2
(en)
|
1989-04-10 |
1998-03-25 |
忠弘 大見 |
Tungsten film forming equipment
|
US5126117A
(en)
|
1990-05-22 |
1992-06-30 |
Custom Engineered Materials, Inc. |
Device for preventing accidental releases of hazardous gases
|
US5175123A
(en)
|
1990-11-13 |
1992-12-29 |
Motorola, Inc. |
High-pressure polysilicon encapsulated localized oxidation of silicon
|
US5050540A
(en)
|
1991-01-29 |
1991-09-24 |
Arne Lindberg |
Method of gas blanketing a boiler
|
JPH05129296A
(en)
|
1991-11-05 |
1993-05-25 |
Fujitsu Ltd |
Method of flatting conductive film
|
JP3230836B2
(en)
*
|
1992-04-09 |
2001-11-19 |
東京エレクトロン株式会社 |
Heat treatment equipment
|
US5319212A
(en)
|
1992-10-07 |
1994-06-07 |
Genus, Inc. |
Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
|
JPH06283496A
(en)
|
1993-03-26 |
1994-10-07 |
Dainippon Screen Mfg Co Ltd |
Dryer of substrate after washing
|
US5607002A
(en)
|
1993-04-28 |
1997-03-04 |
Advanced Delivery & Chemical Systems, Inc. |
Chemical refill system for high purity chemicals
|
US5578132A
(en)
|
1993-07-07 |
1996-11-26 |
Tokyo Electron Kabushiki Kaisha |
Apparatus for heat treating semiconductors at normal pressure and low pressure
|
US5880041A
(en)
|
1994-05-27 |
1999-03-09 |
Motorola Inc. |
Method for forming a dielectric layer using high pressure
|
US5808245A
(en)
|
1995-01-03 |
1998-09-15 |
Donaldson Company, Inc. |
Vertical mount catalytic converter muffler
|
US5620524A
(en)
|
1995-02-27 |
1997-04-15 |
Fan; Chiko |
Apparatus for fluid delivery in chemical vapor deposition systems
|
US5858051A
(en)
|
1995-05-08 |
1999-01-12 |
Toshiba Machine Co., Ltd. |
Method of manufacturing optical waveguide
|
JP2872637B2
(en)
|
1995-07-10 |
1999-03-17 |
アプライド マテリアルズ インコーポレイテッド |
Microwave plasma based applicator
|
US5895274A
(en)
|
1996-01-22 |
1999-04-20 |
Micron Technology, Inc. |
High-pressure anneal process for integrated circuits
|
KR980012044A
(en)
|
1996-03-01 |
1998-04-30 |
히가시 데츠로 |
Substrate drying apparatus and substrate drying method
|
US5998305A
(en)
|
1996-03-29 |
1999-12-07 |
Praxair Technology, Inc. |
Removal of carbon from substrate surfaces
|
US5738915A
(en)
|
1996-09-19 |
1998-04-14 |
Lambda Technologies, Inc. |
Curing polymer layers on semiconductor substrates using variable frequency microwave energy
|
US6444037B1
(en)
|
1996-11-13 |
2002-09-03 |
Applied Materials, Inc. |
Chamber liner for high temperature processing chamber
|
US6082950A
(en)
|
1996-11-18 |
2000-07-04 |
Applied Materials, Inc. |
Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
|
US5730885A
(en)
*
|
1996-12-03 |
1998-03-24 |
Union Carbide Chemicals & Plastics Technology Corporation |
Screen packs for reducing gels in polypropylene copolymers
|
US5846073A
(en)
*
|
1997-03-07 |
1998-12-08 |
Semitool, Inc. |
Semiconductor furnace processing vessel base
|
US6136664A
(en)
|
1997-08-07 |
2000-10-24 |
International Business Machines Corporation |
Filling of high aspect ratio trench isolation
|
US6352593B1
(en)
*
|
1997-08-11 |
2002-03-05 |
Torrex Equipment Corp. |
Mini-batch process chamber
|
US20030049372A1
(en)
|
1997-08-11 |
2003-03-13 |
Cook Robert C. |
High rate deposition at low pressures in a small batch reactor
|
US5963817A
(en)
|
1997-10-16 |
1999-10-05 |
International Business Machines Corporation |
Bulk and strained silicon on insulator using local selective oxidation
|
JP3199006B2
(en)
|
1997-11-18 |
2001-08-13 |
日本電気株式会社 |
Method of forming interlayer insulating film and insulating film forming apparatus
|
US6442980B2
(en)
|
1997-11-26 |
2002-09-03 |
Chart Inc. |
Carbon dioxide dry cleaning system
|
US6846739B1
(en)
|
1998-02-27 |
2005-01-25 |
Micron Technology, Inc. |
MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
|
US6164412A
(en)
|
1998-04-03 |
2000-12-26 |
Arvin Industries, Inc. |
Muffler
|
US6719516B2
(en)
|
1998-09-28 |
2004-04-13 |
Applied Materials, Inc. |
Single wafer load lock with internal wafer transport
|
US20030101938A1
(en)
|
1998-10-27 |
2003-06-05 |
Applied Materials, Inc. |
Apparatus for the deposition of high dielectric constant films
|
TW517092B
(en)
*
|
1999-03-17 |
2003-01-11 |
Kobe Steel Ltd |
High-temperature and high-pressure treatment device
|
US6468490B1
(en)
|
2000-06-29 |
2002-10-22 |
Applied Materials, Inc. |
Abatement of fluorine gas from effluent
|
US6612317B2
(en)
|
2000-04-18 |
2003-09-02 |
S.C. Fluids, Inc |
Supercritical fluid delivery and recovery system for semiconductor wafer processing
|
US6334266B1
(en)
|
1999-09-20 |
2002-01-01 |
S.C. Fluids, Inc. |
Supercritical fluid drying system and method of use
|
ATE418158T1
(en)
|
1999-08-17 |
2009-01-15 |
Applied Materials Inc |
SURFACE TREATMENT OF CARBON-DOPED SIO2 FILM TO INCREASE STABILITY DURING O2 ASHING
|
US6299753B1
(en)
|
1999-09-01 |
2001-10-09 |
Applied Materials, Inc. |
Double pressure vessel chemical dispenser unit
|
JP2001110729A
(en)
|
1999-10-06 |
2001-04-20 |
Mitsubishi Heavy Ind Ltd |
Apparratus for continuously manufacturing method of semiconductor element
|
US20030148631A1
(en)
|
1999-11-08 |
2003-08-07 |
Taiwan Semiconductor Manufacturing Company |
Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
|
US6500603B1
(en)
|
1999-11-11 |
2002-12-31 |
Mitsui Chemicals, Inc. |
Method for manufacturing polymer optical waveguide
|
US6150286A
(en)
|
2000-01-03 |
2000-11-21 |
Advanced Micro Devices, Inc. |
Method of making an ultra thin silicon nitride film
|
US6541367B1
(en)
|
2000-01-18 |
2003-04-01 |
Applied Materials, Inc. |
Very low dielectric constant plasma-enhanced CVD films
|
US6319766B1
(en)
|
2000-02-22 |
2001-11-20 |
Applied Materials, Inc. |
Method of tantalum nitride deposition by tantalum oxide densification
|
JP2001250787A
(en)
|
2000-03-06 |
2001-09-14 |
Hitachi Kokusai Electric Inc |
Equipment and method for treating substrate
|
US20040025908A1
(en)
|
2000-04-18 |
2004-02-12 |
Stephen Douglas |
Supercritical fluid delivery system for semiconductor wafer processing
|
JP3497450B2
(en)
*
|
2000-07-06 |
2004-02-16 |
東京エレクトロン株式会社 |
Batch heat treatment apparatus and control method thereof
|
US7166524B2
(en)
|
2000-08-11 |
2007-01-23 |
Applied Materials, Inc. |
Method for ion implanting insulator material to reduce dielectric constant
|
JP4342745B2
(en)
*
|
2000-09-27 |
2009-10-14 |
株式会社日立国際電気 |
Substrate processing method and semiconductor device manufacturing method
|
US6852167B2
(en)
|
2001-03-01 |
2005-02-08 |
Micron Technology, Inc. |
Methods, systems, and apparatus for uniform chemical-vapor depositions
|
US6797336B2
(en)
|
2001-03-22 |
2004-09-28 |
Ambp Tech Corporation |
Multi-component substances and processes for preparation thereof
|
JP4335469B2
(en)
|
2001-03-22 |
2009-09-30 |
株式会社荏原製作所 |
Method and apparatus for adjusting gas circulation rate of vacuum exhaust device
|
JP4015818B2
(en)
*
|
2001-03-28 |
2007-11-28 |
株式会社日立国際電気 |
Semiconductor manufacturing equipment
|
TW544797B
(en)
|
2001-04-17 |
2003-08-01 |
Kobe Steel Ltd |
High-pressure processing apparatus
|
JP2002319571A
(en)
|
2001-04-20 |
2002-10-31 |
Kawasaki Microelectronics Kk |
Preprocessing method for etching tank and manufacturing method for semiconductor device
|
US7080651B2
(en)
|
2001-05-17 |
2006-07-25 |
Dainippon Screen Mfg. Co., Ltd. |
High pressure processing apparatus and method
|
EP1271636A1
(en)
|
2001-06-22 |
2003-01-02 |
Infineon Technologies AG |
Thermal oxidation process control by controlling oxidation agent partial pressure
|
JP2003051474A
(en)
|
2001-08-03 |
2003-02-21 |
Kobe Steel Ltd |
High-pressure processing apparatus
|
US6531412B2
(en)
|
2001-08-10 |
2003-03-11 |
International Business Machines Corporation |
Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
|
US6781801B2
(en)
|
2001-08-10 |
2004-08-24 |
Seagate Technology Llc |
Tunneling magnetoresistive sensor with spin polarized current injection
|
US6619304B2
(en)
|
2001-09-13 |
2003-09-16 |
Micell Technologies, Inc. |
Pressure chamber assembly including non-mechanical drive means
|
US20030098069A1
(en)
|
2001-11-26 |
2003-05-29 |
Sund Wesley E. |
High purity fluid delivery system
|
KR100450564B1
(en)
|
2001-12-20 |
2004-09-30 |
동부전자 주식회사 |
Post treatment method for metal line of semiconductor device
|
US6848458B1
(en)
|
2002-02-05 |
2005-02-01 |
Novellus Systems, Inc. |
Apparatus and methods for processing semiconductor substrates using supercritical fluids
|
US6632325B2
(en)
|
2002-02-07 |
2003-10-14 |
Applied Materials, Inc. |
Article for use in a semiconductor processing chamber and method of fabricating same
|
US7589029B2
(en)
|
2002-05-02 |
2009-09-15 |
Micron Technology, Inc. |
Atomic layer deposition and conversion
|
US7638727B2
(en)
|
2002-05-08 |
2009-12-29 |
Btu International Inc. |
Plasma-assisted heat treatment
|
US7521089B2
(en)
|
2002-06-13 |
2009-04-21 |
Tokyo Electron Limited |
Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
|
AU2003256486A1
(en)
*
|
2002-07-15 |
2004-02-02 |
Aviza Technology, Inc. |
System and method for cooling a thermal processing apparatus
|
US20070243317A1
(en)
*
|
2002-07-15 |
2007-10-18 |
Du Bois Dale R |
Thermal Processing System and Configurable Vertical Chamber
|
US20070212850A1
(en)
|
2002-09-19 |
2007-09-13 |
Applied Materials, Inc. |
Gap-fill depositions in the formation of silicon containing dielectric materials
|
US7335609B2
(en)
|
2004-08-27 |
2008-02-26 |
Applied Materials, Inc. |
Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
|
JP2004127958A
(en)
|
2002-09-30 |
2004-04-22 |
Kyoshin Engineering:Kk |
Apparatus and method for performing high pressure anneal steam treatment
|
US20040060519A1
(en)
|
2002-10-01 |
2004-04-01 |
Seh America Inc. |
Quartz to quartz seal using expanded PTFE gasket material
|
US6889508B2
(en)
|
2002-10-02 |
2005-05-10 |
The Boc Group, Inc. |
High pressure CO2 purification and supply system
|
US7270761B2
(en)
|
2002-10-18 |
2007-09-18 |
Appleid Materials, Inc |
Fluorine free integrated process for etching aluminum including chamber dry clean
|
US20040112409A1
(en)
|
2002-12-16 |
2004-06-17 |
Supercritical Sysems, Inc. |
Fluoride in supercritical fluid for photoresist and residue removal
|
WO2004070796A2
(en)
|
2003-02-04 |
2004-08-19 |
Applied Materials, Inc. |
Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
|
CN1701417B
(en)
*
|
2003-02-21 |
2012-04-25 |
株式会社日立国际电气 |
Substrate-processing apparatus and method of producing semiconductor device
|
JP3956049B2
(en)
|
2003-03-07 |
2007-08-08 |
東京エレクトロン株式会社 |
Method for forming tungsten film
|
US6939794B2
(en)
|
2003-06-17 |
2005-09-06 |
Micron Technology, Inc. |
Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
|
WO2005007283A2
(en)
|
2003-07-08 |
2005-01-27 |
Sundew Technologies, Llc |
Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
|
JP4173781B2
(en)
|
2003-08-13 |
2008-10-29 |
株式会社神戸製鋼所 |
High pressure processing method
|
WO2005057663A2
(en)
|
2003-12-10 |
2005-06-23 |
Koninklijke Philips Electronics N.V. |
Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
|
US7158221B2
(en)
|
2003-12-23 |
2007-01-02 |
Applied Materials, Inc. |
Method and apparatus for performing limited area spectral analysis
|
US20050136684A1
(en)
|
2003-12-23 |
2005-06-23 |
Applied Materials, Inc. |
Gap-fill techniques
|
US20050250347A1
(en)
|
2003-12-31 |
2005-11-10 |
Bailey Christopher M |
Method and apparatus for maintaining by-product volatility in deposition process
|
US7030468B2
(en)
|
2004-01-16 |
2006-04-18 |
International Business Machines Corporation |
Low k and ultra low k SiCOH dielectric films and methods to form the same
|
US7128570B2
(en)
*
|
2004-01-21 |
2006-10-31 |
Asm International N.V. |
Method and apparatus for purging seals in a thermal reactor
|
US20050187647A1
(en)
|
2004-02-19 |
2005-08-25 |
Kuo-Hua Wang |
Intelligent full automation controlled flow for a semiconductor furnace tool
|
JP4393268B2
(en)
|
2004-05-20 |
2010-01-06 |
株式会社神戸製鋼所 |
Drying method of fine structure
|
US20050269291A1
(en)
|
2004-06-04 |
2005-12-08 |
Tokyo Electron Limited |
Method of operating a processing system for treating a substrate
|
US7521378B2
(en)
|
2004-07-01 |
2009-04-21 |
Micron Technology, Inc. |
Low temperature process for polysilazane oxidation/densification
|
US7491658B2
(en)
|
2004-10-13 |
2009-02-17 |
International Business Machines Corporation |
Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
|
US7427571B2
(en)
|
2004-10-15 |
2008-09-23 |
Asm International, N.V. |
Reactor design for reduced particulate generation
|
KR20070089197A
(en)
|
2004-11-22 |
2007-08-30 |
어플라이드 머티어리얼스, 인코포레이티드 |
Substrate processing apparatus using a batch processing chamber
|
KR100697280B1
(en)
|
2005-02-07 |
2007-03-20 |
삼성전자주식회사 |
Method for controlling presure of equipment for semiconductor device fabrication
|
JP4475136B2
(en)
*
|
2005-02-18 |
2010-06-09 |
東京エレクトロン株式会社 |
Processing system, pre-processing apparatus and storage medium
|
US9576824B2
(en)
|
2005-02-22 |
2017-02-21 |
Spts Technologies Limited |
Etching chamber with subchamber
|
US7211525B1
(en)
|
2005-03-16 |
2007-05-01 |
Novellus Systems, Inc. |
Hydrogen treatment enhanced gap fill
|
WO2006101315A1
(en)
|
2005-03-21 |
2006-09-28 |
Pkl Co., Ltd. |
Device and method for cleaning photomask
|
US20060226117A1
(en)
|
2005-03-29 |
2006-10-12 |
Bertram Ronald T |
Phase change based heating element system and method
|
US7351057B2
(en)
*
|
2005-04-27 |
2008-04-01 |
Asm International N.V. |
Door plate for furnace
|
US20120060868A1
(en)
|
2005-06-07 |
2012-03-15 |
Donald Gray |
Microscale fluid delivery system
|
CN101198903B
(en)
|
2005-06-10 |
2011-09-07 |
奥贝达克特公司 |
Pattern replication with intermediate stamp
|
JP4747693B2
(en)
|
2005-06-28 |
2011-08-17 |
住友電気工業株式会社 |
Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
|
US7361231B2
(en)
|
2005-07-01 |
2008-04-22 |
Ekc Technology, Inc. |
System and method for mid-pressure dense phase gas and ultrasonic cleaning
|
WO2007018016A1
(en)
|
2005-08-05 |
2007-02-15 |
Hitachi Kokusai Electric Inc. |
Substrate processing equipment, cooling gas supply nozzle and method for fabricating semiconductor device
|
US7534080B2
(en)
|
2005-08-26 |
2009-05-19 |
Ascentool, Inc. |
Vacuum processing and transfer system
|
US7531404B2
(en)
|
2005-08-30 |
2009-05-12 |
Intel Corporation |
Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
|
US8926731B2
(en)
|
2005-09-13 |
2015-01-06 |
Rasirc |
Methods and devices for producing high purity steam
|
KR100696178B1
(en)
|
2005-09-13 |
2007-03-20 |
한국전자통신연구원 |
Optical waveguide master and manufacture method of the same
|
US20080257260A9
(en)
*
|
2005-09-30 |
2008-10-23 |
Applied Materials, Inc. |
Batch wafer handling system
|
WO2007043383A1
(en)
|
2005-10-07 |
2007-04-19 |
Nikon Corporation |
Fine structure body and method for manufacturing same
|
US7387968B2
(en)
|
2005-11-08 |
2008-06-17 |
Tokyo Electron Limited |
Batch photoresist dry strip and ash system and process
|
US8306026B2
(en)
|
2005-12-15 |
2012-11-06 |
Toshiba America Research, Inc. |
Last hop topology sensitive multicasting key management
|
US20070187386A1
(en)
|
2006-02-10 |
2007-08-16 |
Poongsan Microtec Corporation |
Methods and apparatuses for high pressure gas annealing
|
US7578258B2
(en)
|
2006-03-03 |
2009-08-25 |
Lam Research Corporation |
Methods and apparatus for selective pre-coating of a plasma processing chamber
|
JP2007242791A
(en)
|
2006-03-07 |
2007-09-20 |
Hitachi Kokusai Electric Inc |
Substrate treatment apparatus
|
US8062408B2
(en)
|
2006-05-08 |
2011-11-22 |
The Board Of Trustees Of The University Of Illinois |
Integrated vacuum absorption steam cycle gas separation
|
US7825038B2
(en)
|
2006-05-30 |
2010-11-02 |
Applied Materials, Inc. |
Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
|
US7650965B2
(en)
|
2006-06-09 |
2010-01-26 |
Emcon Technologies Llc |
Exhaust system
|
US7371998B2
(en)
*
|
2006-07-05 |
2008-05-13 |
Semitool, Inc. |
Thermal wafer processor
|
JP2008073611A
(en)
|
2006-09-21 |
2008-04-03 |
Dainippon Screen Mfg Co Ltd |
High pressure treating device
|
JP4814038B2
(en)
|
2006-09-25 |
2011-11-09 |
株式会社日立国際電気 |
Substrate processing apparatus and method for attaching / detaching reaction vessel
|
US7790587B2
(en)
|
2006-11-07 |
2010-09-07 |
Intel Corporation |
Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
|
US20080169183A1
(en)
|
2007-01-16 |
2008-07-17 |
Varian Semiconductor Equipment Associates, Inc. |
Plasma Source with Liner for Reducing Metal Contamination
|
JP2008192642A
(en)
|
2007-01-31 |
2008-08-21 |
Tokyo Electron Ltd |
Substrate processing apparatus
|
US20080233404A1
(en)
|
2007-03-22 |
2008-09-25 |
3M Innovative Properties Company |
Microreplication tools and patterns using laser induced thermal embossing
|
JP5135856B2
(en)
|
2007-03-31 |
2013-02-06 |
東京エレクトロン株式会社 |
Trap device, exhaust system and treatment system using the same
|
DE102007017641A1
(en)
|
2007-04-13 |
2008-10-16 |
Infineon Technologies Ag |
Curing of layers on the semiconductor module by means of electromagnetic fields
|
JP2010528475A
(en)
|
2007-05-25 |
2010-08-19 |
アプライド マテリアルズ インコーポレイテッド |
Method and apparatus for assembling and operating an electronic device manufacturing system
|
WO2008156687A1
(en)
|
2007-06-15 |
2008-12-24 |
Applied Materials, Inc. |
Methods and systems for designing and validating operation of abatement systems
|
US20090004405A1
(en)
*
|
2007-06-29 |
2009-01-01 |
Applied Materials, Inc. |
Thermal Batch Reactor with Removable Susceptors
|
KR101442238B1
(en)
|
2007-07-26 |
2014-09-23 |
주식회사 풍산마이크로텍 |
Method of manufacturing Semiconductor Device by using High-Pressure Oxygen Annealing
|
JP4470970B2
(en)
*
|
2007-07-31 |
2010-06-02 |
東京エレクトロン株式会社 |
Plasma processing equipment
|
US7951728B2
(en)
|
2007-09-24 |
2011-05-31 |
Applied Materials, Inc. |
Method of improving oxide growth rate of selective oxidation processes
|
US7803722B2
(en)
|
2007-10-22 |
2010-09-28 |
Applied Materials, Inc |
Methods for forming a dielectric layer within trenches
|
US7541297B2
(en)
|
2007-10-22 |
2009-06-02 |
Applied Materials, Inc. |
Method and system for improving dielectric film quality for void free gap fill
|
US7867923B2
(en)
|
2007-10-22 |
2011-01-11 |
Applied Materials, Inc. |
High quality silicon oxide films by remote plasma CVD from disilane precursors
|
JP2011501102A
(en)
|
2007-10-26 |
2011-01-06 |
アプライド マテリアルズ インコーポレイテッド |
High performance abatement method and apparatus using improved fuel circuit
|
JP5299605B2
(en)
|
2007-11-19 |
2013-09-25 |
日揮触媒化成株式会社 |
Method for repairing damage of low dielectric constant silica-based coating and low dielectric constant silica-based coating repaired by the method
|
US7651959B2
(en)
|
2007-12-03 |
2010-01-26 |
Asm Japan K.K. |
Method for forming silazane-based dielectric film
|
KR20090064279A
(en)
|
2007-12-14 |
2009-06-18 |
노벨러스 시스템즈, 인코포레이티드 |
Protective layer to enable damage free gap fill
|
US7776740B2
(en)
|
2008-01-22 |
2010-08-17 |
Tokyo Electron Limited |
Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
|
JP4815464B2
(en)
|
2008-03-31 |
2011-11-16 |
株式会社日立製作所 |
Fine structure transfer stamper and fine structure transfer apparatus
|
US7655532B1
(en)
|
2008-07-25 |
2010-02-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
STI film property using SOD post-treatment
|
JP2010056541A
(en)
|
2008-07-31 |
2010-03-11 |
Semiconductor Energy Lab Co Ltd |
Semiconductor device and manufacturing method thereof
|
US20100089315A1
(en)
|
2008-09-22 |
2010-04-15 |
Applied Materials, Inc. |
Shutter disk for physical vapor deposition chamber
|
US8153533B2
(en)
|
2008-09-24 |
2012-04-10 |
Lam Research |
Methods and systems for preventing feature collapse during microelectronic topography fabrication
|
KR20100035000A
(en)
|
2008-09-25 |
2010-04-02 |
삼성전자주식회사 |
Semiconductor device having isolation layer with isolation trench of different aspect ratio and isolation trench gap fill method of fabricating the same
|
US7891228B2
(en)
|
2008-11-18 |
2011-02-22 |
Mks Instruments, Inc. |
Dual-mode mass flow verification and mass flow delivery system and method
|
US8557712B1
(en)
|
2008-12-15 |
2013-10-15 |
Novellus Systems, Inc. |
PECVD flowable dielectric gap fill
|
JP2010205854A
(en)
|
2009-03-02 |
2010-09-16 |
Fujitsu Semiconductor Ltd |
Method of manufacturing semiconductor device
|
US20100304027A1
(en)
|
2009-05-27 |
2010-12-02 |
Applied Materials, Inc. |
Substrate processing system and methods thereof
|
JP4415062B1
(en)
|
2009-06-22 |
2010-02-17 |
富士フイルム株式会社 |
THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THIN FILM TRANSISTOR
|
KR20110000960A
(en)
|
2009-06-29 |
2011-01-06 |
삼성전자주식회사 |
Semiconductor chip, stack module, memory card, and method of fabricating the same
|
US8741788B2
(en)
|
2009-08-06 |
2014-06-03 |
Applied Materials, Inc. |
Formation of silicon oxide using non-carbon flowable CVD processes
|
JP2011066100A
(en)
|
2009-09-16 |
2011-03-31 |
Bridgestone Corp |
Photocurable transfer sheet and method for forming recessed and projected pattern using same
|
US8449942B2
(en)
|
2009-11-12 |
2013-05-28 |
Applied Materials, Inc. |
Methods of curing non-carbon flowable CVD films
|
KR101370301B1
(en)
|
2009-11-20 |
2014-03-05 |
가부시키가이샤 한도오따이 에네루기 켄큐쇼 |
Method for manufacturing semiconductor device
|
US20110151677A1
(en)
|
2009-12-21 |
2011-06-23 |
Applied Materials, Inc. |
Wet oxidation process performed on a dielectric material formed from a flowable cvd process
|
CN102754193A
(en)
|
2010-01-06 |
2012-10-24 |
应用材料公司 |
Flowable dielectric using oxide liner
|
CN102714156A
(en)
|
2010-01-07 |
2012-10-03 |
应用材料公司 |
In-situ ozone cure for radical-component CVD
|
EP2526339A4
(en)
|
2010-01-21 |
2015-03-11 |
Powerdyne Inc |
Generating steam from carbonaceous material
|
US8293658B2
(en)
|
2010-02-17 |
2012-10-23 |
Asm America, Inc. |
Reactive site deactivation against vapor deposition
|
WO2011109148A2
(en)
|
2010-03-05 |
2011-09-09 |
Applied Materials, Inc. |
Conformal layers by radical-component cvd
|
KR101163711B1
(en)
|
2010-06-15 |
2012-07-09 |
서울대학교산학협력단 |
1t dram device having two gates on recessed body and method of operating and fabricating the same
|
CN101871043B
(en)
|
2010-06-25 |
2012-07-18 |
东莞市康汇聚线材科技有限公司 |
Steam generator of annealing furnace and control method thereof
|
US8318584B2
(en)
|
2010-07-30 |
2012-11-27 |
Applied Materials, Inc. |
Oxide-rich liner layer for flowable CVD gapfill
|
JP2012049446A
(en)
|
2010-08-30 |
2012-03-08 |
Toshiba Corp |
Supercritical drying method and supercritical drying system
|
EP2426720A1
(en)
|
2010-09-03 |
2012-03-07 |
Applied Materials, Inc. |
Staggered thin film transistor and method of forming the same
|
TW201216331A
(en)
|
2010-10-05 |
2012-04-16 |
Applied Materials Inc |
Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
|
JP5806827B2
(en)
*
|
2011-03-18 |
2015-11-10 |
東京エレクトロン株式会社 |
Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
|
JP5450494B2
(en)
|
2011-03-25 |
2014-03-26 |
株式会社東芝 |
Supercritical drying method for semiconductor substrates
|
US9031373B2
(en)
|
2011-03-25 |
2015-05-12 |
Seo Young Lee |
Lightwave circuit and method for manufacturing same
|
US20120252210A1
(en)
|
2011-03-30 |
2012-10-04 |
Tokyo Electron Limited |
Method for modifying metal cap layers in semiconductor devices
|
WO2012133583A1
(en)
|
2011-03-30 |
2012-10-04 |
大日本印刷株式会社 |
Supercritical drying device and supercritical drying method
|
US9299581B2
(en)
|
2011-05-12 |
2016-03-29 |
Applied Materials, Inc. |
Methods of dry stripping boron-carbon films
|
WO2012165377A1
(en)
|
2011-05-30 |
2012-12-06 |
東京エレクトロン株式会社 |
Method for treating substrate, device for treating substrate and storage medium
|
JP6085423B2
(en)
|
2011-05-30 |
2017-02-22 |
株式会社東芝 |
Substrate processing method, substrate processing apparatus, and storage medium
|
US8466073B2
(en)
|
2011-06-03 |
2013-06-18 |
Applied Materials, Inc. |
Capping layer for reduced outgassing
|
GB201110117D0
(en)
|
2011-06-16 |
2011-07-27 |
Fujifilm Mfg Europe Bv |
method and device for manufacturing a barrie layer on a flexible substrate
|
JP6042427B2
(en)
|
2011-06-28 |
2016-12-14 |
ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH |
Semiconductor stocker system and semiconductor stock method
|
CN103999198B
(en)
|
2011-11-01 |
2016-08-24 |
株式会社日立国际电气 |
The manufacture method of semiconductor device, the manufacture device of semiconductor device and record medium
|
JP5712902B2
(en)
|
2011-11-10 |
2015-05-07 |
東京エレクトロン株式会社 |
Substrate processing apparatus, substrate processing method, and storage medium
|
JP2013122493A
(en)
|
2011-12-09 |
2013-06-20 |
Furukawa Electric Co Ltd:The |
Optical branching element and optical branching circuit
|
JP2013154315A
(en)
|
2012-01-31 |
2013-08-15 |
Ricoh Co Ltd |
Thin film forming apparatus, thin film forming method, electro-mechanical transducer element, liquid ejecting head, and inkjet recording apparatus
|
CN104106128B
(en)
|
2012-02-13 |
2016-11-09 |
应用材料公司 |
Method and apparatus for the selective oxidation of substrate
|
US8871656B2
(en)
|
2012-03-05 |
2014-10-28 |
Applied Materials, Inc. |
Flowable films using alternative silicon precursors
|
US20130288485A1
(en)
|
2012-04-30 |
2013-10-31 |
Applied Materials, Inc. |
Densification for flowable films
|
US20130337171A1
(en)
|
2012-06-13 |
2013-12-19 |
Qualcomm Mems Technologies, Inc. |
N2 purged o-ring for chamber in chamber ald system
|
KR101224520B1
(en)
*
|
2012-06-27 |
2013-01-22 |
(주)이노시티 |
Apparatus for process chamber
|
KR20140003776A
(en)
|
2012-06-28 |
2014-01-10 |
주식회사 메카로닉스 |
Preparation of a high resistivity zno thin film
|
US20150309073A1
(en)
|
2012-07-13 |
2015-10-29 |
Northwestern University |
Multifunctional graphene coated scanning tips
|
JP2014019912A
(en)
|
2012-07-19 |
2014-02-03 |
Tokyo Electron Ltd |
Method of depositing tungsten film
|
US8846448B2
(en)
|
2012-08-10 |
2014-09-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Warpage control in a package-on-package structure
|
JP5499225B1
(en)
|
2012-08-24 |
2014-05-21 |
独立行政法人科学技術振興機構 |
Semiconductor structure comprising aluminum nitride oxide film on germanium layer and method for manufacturing the same
|
KR102002782B1
(en)
|
2012-09-10 |
2019-07-23 |
삼성전자주식회사 |
Method of manufacturing for Semiconductor device using expandable material
|
JP2014060256A
(en)
|
2012-09-18 |
2014-04-03 |
Tokyo Electron Ltd |
Processing system
|
US9157730B2
(en)
|
2012-10-26 |
2015-10-13 |
Applied Materials, Inc. |
PECVD process
|
SG2013083241A
(en)
|
2012-11-08 |
2014-06-27 |
Novellus Systems Inc |
Conformal film deposition for gapfill
|
WO2014085511A2
(en)
|
2012-11-27 |
2014-06-05 |
The Regents Of The University Of California |
Polymerized metal-organic material for printable photonic devices
|
JP2014141739A
(en)
|
2012-12-27 |
2014-08-07 |
Tokyo Electron Ltd |
Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
|
US20140216498A1
(en)
|
2013-02-06 |
2014-08-07 |
Kwangduk Douglas Lee |
Methods of dry stripping boron-carbon films
|
WO2014130304A1
(en)
|
2013-02-19 |
2014-08-28 |
Applied Materials, Inc. |
Hdd patterning using flowable cvd film
|
KR101443792B1
(en)
|
2013-02-20 |
2014-09-26 |
국제엘렉트릭코리아 주식회사 |
Gas Phase Etcher Apparatus
|
KR20140106977A
(en)
|
2013-02-27 |
2014-09-04 |
삼성전자주식회사 |
Metal oxide semiconductor Thin Film Transistors having high performance and methods of manufacturing the same
|
US9354508B2
(en)
|
2013-03-12 |
2016-05-31 |
Applied Materials, Inc. |
Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
|
US9680095B2
(en)
|
2013-03-13 |
2017-06-13 |
Macronix International Co., Ltd. |
Resistive RAM and fabrication method
|
TWI624897B
(en)
|
2013-03-15 |
2018-05-21 |
應用材料股份有限公司 |
Multi-position batch load lock apparatus and systems and methods including same
|
KR20210014778A
(en)
|
2013-03-15 |
2021-02-09 |
어플라이드 머티어리얼스, 인코포레이티드 |
Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
US10224258B2
(en)
|
2013-03-22 |
2019-03-05 |
Applied Materials, Inc. |
Method of curing thermoplastics with microwave energy
|
US9538586B2
(en)
|
2013-04-26 |
2017-01-03 |
Applied Materials, Inc. |
Method and apparatus for microwave treatment of dielectric films
|
KR101287035B1
(en)
|
2013-05-07 |
2013-07-17 |
호용종합건설주식회사 |
Boiler system of providing dry steam for renewed pipe
|
WO2014192871A1
(en)
|
2013-05-31 |
2014-12-04 |
株式会社日立国際電気 |
Substrate processing apparatus, method for manufacturing semiconductor manufacturing apparatus, and furnace opening cover body
|
JP6196481B2
(en)
|
2013-06-24 |
2017-09-13 |
株式会社荏原製作所 |
Exhaust gas treatment equipment
|
KR101542803B1
(en)
|
2013-07-09 |
2015-08-07 |
주식회사 네오세미텍 |
Vacuum chamber with purge apparatus of high temperature and high pressure injection type and cleaning method using it
|
US9178103B2
(en)
|
2013-08-09 |
2015-11-03 |
Tsmc Solar Ltd. |
Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
|
US9748105B2
(en)
|
2013-08-16 |
2017-08-29 |
Applied Materials, Inc. |
Tungsten deposition with tungsten hexafluoride (WF6) etchback
|
CN109390214B
(en)
|
2013-08-21 |
2023-03-07 |
应用材料公司 |
Variable Frequency Microwave (VFM) process and application in semiconductor thin film manufacturing
|
JP6226648B2
(en)
|
2013-09-04 |
2017-11-08 |
昭和電工株式会社 |
Method for manufacturing SiC epitaxial wafer
|
US9396986B2
(en)
|
2013-10-04 |
2016-07-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Mechanism of forming a trench structure
|
JP6129712B2
(en)
|
2013-10-24 |
2017-05-17 |
信越化学工業株式会社 |
Superheated steam treatment equipment
|
US9406547B2
(en)
|
2013-12-24 |
2016-08-02 |
Intel Corporation |
Techniques for trench isolation using flowable dielectric materials
|
CN103745978B
(en)
|
2014-01-03 |
2016-08-17 |
京东方科技集团股份有限公司 |
Display device, array base palte and preparation method thereof
|
US9257527B2
(en)
|
2014-02-14 |
2016-02-09 |
International Business Machines Corporation |
Nanowire transistor structures with merged source/drain regions using auxiliary pillars
|
US9818603B2
(en)
|
2014-03-06 |
2017-11-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor devices and methods of manufacture thereof
|
KR101571715B1
(en)
|
2014-04-23 |
2015-11-25 |
주식회사 풍산 |
Method of forming spin on glass type insulation layer using high pressure annealing
|
CN104047676A
(en)
|
2014-06-14 |
2014-09-17 |
马根昌 |
Improved opposite impact silencer
|
JP6399417B2
(en)
|
2014-06-16 |
2018-10-03 |
インテル・コーポレーション |
Metal interconnect seam repair
|
CN104089491B
(en)
|
2014-07-03 |
2015-11-04 |
肇庆宏旺金属实业有限公司 |
The waste heat recycling system of annealing furnace
|
US9257314B1
(en)
|
2014-07-31 |
2016-02-09 |
Poongsan Corporation |
Methods and apparatuses for deuterium recovery
|
DE112014006932T5
(en)
|
2014-09-08 |
2017-06-01 |
Mitsubishi Electric Corporation |
Halbleitertempervorrichtung
|
US9773865B2
(en)
|
2014-09-22 |
2017-09-26 |
International Business Machines Corporation |
Self-forming spacers using oxidation
|
US9362107B2
(en)
|
2014-09-30 |
2016-06-07 |
Applied Materials, Inc. |
Flowable low-k dielectric gapfill treatment
|
CN105575848B
(en)
*
|
2014-10-17 |
2018-08-28 |
中微半导体设备(上海)有限公司 |
Vacuum lock system and processing method for substrate
|
US20160118391A1
(en)
|
2014-10-22 |
2016-04-28 |
SanDisk Technologies, Inc. |
Deuterium anneal of semiconductor channels in a three-dimensional memory structure
|
KR102079501B1
(en)
|
2014-10-24 |
2020-02-20 |
버슘머트리얼즈 유에스, 엘엘씨 |
Compositions and methods using same for deposition of silicon-containing film
|
US9543141B2
(en)
|
2014-12-09 |
2017-01-10 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method for curing flowable layer
|
TW201639063A
(en)
|
2015-01-22 |
2016-11-01 |
應用材料股份有限公司 |
Batch heating and cooling chamber or loadlock
|
EP3254303B1
(en)
|
2015-02-06 |
2018-12-05 |
Versum Materials US, LLC |
Method for formation of carbon doped silicon containing films
|
WO2016130956A1
(en)
|
2015-02-13 |
2016-08-18 |
Alexander Otto |
Multifilament superconducting wire with high resistance sleeves
|
WO2016172003A1
(en)
|
2015-04-20 |
2016-10-27 |
Applied Materials, Inc. |
Buffer chamber wafer heating mechanism and supporting robot
|
US20160314964A1
(en)
|
2015-04-21 |
2016-10-27 |
Lam Research Corporation |
Gap fill using carbon-based films
|
US9685303B2
(en)
|
2015-05-08 |
2017-06-20 |
Varian Semiconductor Equipment Associates, Inc. |
Apparatus for heating and processing a substrate
|
US10443934B2
(en)
|
2015-05-08 |
2019-10-15 |
Varian Semiconductor Equipment Associates, Inc. |
Substrate handling and heating system
|
TWI826223B
(en)
|
2015-05-11 |
2023-12-11 |
美商應用材料股份有限公司 |
Horizontal gate all around and finfet device isolation
|
KR101681190B1
(en)
|
2015-05-15 |
2016-12-02 |
세메스 주식회사 |
method and Apparatus for Processing Substrate
|
WO2016191621A1
(en)
|
2015-05-27 |
2016-12-01 |
Applied Materials, Inc. |
Methods and apparatus for a microwave batch curing process
|
JP6802191B2
(en)
|
2015-06-05 |
2020-12-16 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
Positioning and rotating device of susceptor, and method of use
|
US9728430B2
(en)
|
2015-06-29 |
2017-08-08 |
Varian Semiconductor Equipment Associates, Inc. |
Electrostatic chuck with LED heating
|
US20160379854A1
(en)
|
2015-06-29 |
2016-12-29 |
Varian Semiconductor Equipment Associates, Inc. |
Vacuum Compatible LED Substrate Heater
|
US9646850B2
(en)
|
2015-07-06 |
2017-05-09 |
Globalfoundries Inc. |
High-pressure anneal
|
US9484406B1
(en)
|
2015-09-03 |
2016-11-01 |
Applied Materials, Inc. |
Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
|
US9716142B2
(en)
|
2015-10-12 |
2017-07-25 |
International Business Machines Corporation |
Stacked nanowires
|
US9754840B2
(en)
|
2015-11-16 |
2017-09-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Horizontal gate-all-around device having wrapped-around source and drain
|
KR101744201B1
(en)
*
|
2015-12-28 |
2017-06-12 |
주식회사 유진테크 |
Apparatus for processing substrate
|
US9633838B2
(en)
|
2015-12-28 |
2017-04-25 |
L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude |
Vapor deposition of silicon-containing films using penta-substituted disilanes
|
KR102577628B1
(en)
|
2016-01-05 |
2023-09-13 |
어플라이드 머티어리얼스, 인코포레이티드 |
Method for fabricating nanowires for horizontal gate all-around devices for semiconductor applications
|
US9570551B1
(en)
|
2016-02-05 |
2017-02-14 |
International Business Machines Corporation |
Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
|
JP6240695B2
(en)
|
2016-03-02 |
2017-11-29 |
株式会社日立国際電気 |
Substrate processing apparatus, semiconductor device manufacturing method, and program
|
US11326253B2
(en)
|
2016-04-27 |
2022-05-10 |
Applied Materials, Inc. |
Atomic layer deposition of protective coatings for semiconductor process chamber components
|
TWI680535B
(en)
|
2016-06-14 |
2019-12-21 |
美商應用材料股份有限公司 |
Oxidative volumetric expansion of metals and metal containing compounds
|
US9933314B2
(en)
|
2016-06-30 |
2018-04-03 |
Varian Semiconductor Equipment Associates, Inc. |
Semiconductor workpiece temperature measurement system
|
US9876019B1
(en)
|
2016-07-13 |
2018-01-23 |
Globalfoundries Singapore Pte. Ltd. |
Integrated circuits with programmable memory and methods for producing the same
|
CN109791913A
(en)
|
2016-09-30 |
2019-05-21 |
应用材料公司 |
The method for forming self-aligned via holes
|
US10249525B2
(en)
|
2016-10-03 |
2019-04-02 |
Applied Materials, Inc. |
Dynamic leveling process heater lift
|
US10224224B2
(en)
|
2017-03-10 |
2019-03-05 |
Micromaterials, LLC |
High pressure wafer processing systems and related methods
|
KR20230162158A
(en)
|
2017-03-31 |
2023-11-28 |
어플라이드 머티어리얼스, 인코포레이티드 |
Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
|
JP6913761B2
(en)
|
2017-04-21 |
2021-08-04 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
Improved electrode assembly
|
JP7235678B2
(en)
*
|
2017-05-01 |
2023-03-08 |
アプライド マテリアルズ インコーポレイテッド |
High pressure annealing chamber with vacuum isolation and pretreatment environment
|
JP7175283B2
(en)
|
2017-05-03 |
2022-11-18 |
アプライド マテリアルズ インコーポレイテッド |
Integrated substrate temperature measurement on high temperature ceramic heaters
|
KR102306675B1
(en)
|
2017-05-19 |
2021-09-28 |
어플라이드 머티어리얼스, 인코포레이티드 |
Apparatus for collection of liquid and solid effluents and subsequent reaction into gaseous effluents
|
US10847360B2
(en)
|
2017-05-25 |
2020-11-24 |
Applied Materials, Inc. |
High pressure treatment of silicon nitride film
|
US10622214B2
(en)
|
2017-05-25 |
2020-04-14 |
Applied Materials, Inc. |
Tungsten defluorination by high pressure treatment
|
WO2018222614A1
(en)
|
2017-06-02 |
2018-12-06 |
Applied Materials, Inc. |
Quality improvement of films deposited on a substrate
|
US10179941B1
(en)
|
2017-07-14 |
2019-01-15 |
Applied Materials, Inc. |
Gas delivery system for high pressure processing chamber
|
JP6947914B2
(en)
|
2017-08-18 |
2021-10-13 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
Annealing chamber under high pressure and high temperature
|
US10096516B1
(en)
|
2017-08-18 |
2018-10-09 |
Applied Materials, Inc. |
Method of forming a barrier layer for through via applications
|
US10276411B2
(en)
|
2017-08-18 |
2019-04-30 |
Applied Materials, Inc. |
High pressure and high temperature anneal chamber
|
US10643867B2
(en)
|
2017-11-03 |
2020-05-05 |
Applied Materials, Inc. |
Annealing system and method
|
CN117936420A
(en)
|
2017-11-11 |
2024-04-26 |
微材料有限责任公司 |
Gas delivery system for high pressure processing chamber
|
CN111432920A
(en)
|
2017-11-17 |
2020-07-17 |
应用材料公司 |
Condenser system for high pressure processing system
|
JP7299898B2
(en)
|
2018-01-24 |
2023-06-28 |
アプライド マテリアルズ インコーポレイテッド |
Seam repair using high pressure annealing
|
US11114333B2
(en)
|
2018-02-22 |
2021-09-07 |
Micromaterials, LLC |
Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
|
WO2019164636A1
(en)
|
2018-02-22 |
2019-08-29 |
Applied Materials, Inc. |
Method for processing a mask substrate to enable better film quality
|
EP3762962A4
(en)
|
2018-03-09 |
2021-12-08 |
Applied Materials, Inc. |
High pressure annealing process for metal containing materials
|
US10714331B2
(en)
|
2018-04-04 |
2020-07-14 |
Applied Materials, Inc. |
Method to fabricate thermally stable low K-FinFET spacer
|
WO2019204124A1
(en)
|
2018-04-20 |
2019-10-24 |
Applied Materials, Inc. |
Ceramic wafer heater with integrated pressurized helium cooling
|
US11434569B2
(en)
|
2018-05-25 |
2022-09-06 |
Applied Materials, Inc. |
Ground path systems for providing a shorter and symmetrical ground path
|
US11499666B2
(en)
|
2018-05-25 |
2022-11-15 |
Applied Materials, Inc. |
Precision dynamic leveling mechanism with long motion capability
|
US10704141B2
(en)
|
2018-06-01 |
2020-07-07 |
Applied Materials, Inc. |
In-situ CVD and ALD coating of chamber to control metal contamination
|
US10748783B2
(en)
|
2018-07-25 |
2020-08-18 |
Applied Materials, Inc. |
Gas delivery module
|
US20200035513A1
(en)
|
2018-07-25 |
2020-01-30 |
Applied Materials, Inc. |
Processing apparatus
|