Nothing Special   »   [go: up one dir, main page]

SG10201807790YA - Semiconductor devices - Google Patents

Semiconductor devices

Info

Publication number
SG10201807790YA
SG10201807790YA SG10201807790YA SG10201807790YA SG10201807790YA SG 10201807790Y A SG10201807790Y A SG 10201807790YA SG 10201807790Y A SG10201807790Y A SG 10201807790YA SG 10201807790Y A SG10201807790Y A SG 10201807790YA SG 10201807790Y A SG10201807790Y A SG 10201807790YA
Authority
SG
Singapore
Prior art keywords
threshold voltage
channels
pattern
voltage control
control pattern
Prior art date
Application number
SG10201807790YA
Inventor
Kim Jae-Jung
Lee Dong-Soo
Kim Sang-Yong
Jang Jin-Kyu
CHUNG Won-Keun
Hyun Sang-Jin
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of SG10201807790YA publication Critical patent/SG10201807790YA/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D10/00Bipolar junction transistors [BJT]
    • H10D10/40Vertical BJTs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/69IGFETs having charge trapping gate insulators, e.g. MNOS transistors
    • H10D30/693Vertical IGFETs having charge trapping gate insulators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/014Manufacture or treatment of FETs having zero-dimensional [0D] or one-dimensional [1D] channels, e.g. quantum wire FETs, single-electron transistors [SET] or Coulomb blockade transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/024Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/40FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels
    • H10D30/43FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels having 1D charge carrier gas channels, e.g. quantum wire FETs or transistors having 1D quantum-confined channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • H10D30/673Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
    • H10D30/6735Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes having gates fully surrounding the channels, e.g. gate-all-around
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6757Thin-film transistors [TFT] characterised by the structure of the channel, e.g. transverse or longitudinal shape or doping profile
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/721Insulated-gate field-effect transistors [IGFET] having a gate-to-body connection, i.e. bulk dynamic threshold voltage IGFET 
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H10D30/797Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/113Isolations within a component, i.e. internal isolations
    • H10D62/115Dielectric isolations, e.g. air gaps
    • H10D62/116Dielectric isolations, e.g. air gaps adjoining the input or output regions of field-effect devices, e.g. adjoining source or drain regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/117Shapes of semiconductor bodies
    • H10D62/118Nanostructure semiconductor bodies
    • H10D62/119Nanowire, nanosheet or nanotube semiconductor bodies
    • H10D62/121Nanowire, nanosheet or nanotube semiconductor bodies oriented parallel to substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/124Shapes, relative sizes or dispositions of the regions of semiconductor bodies or of junctions between the regions
    • H10D62/126Top-view geometrical layouts of the regions or the junctions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/17Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
    • H10D62/213Channel regions of field-effect devices
    • H10D62/221Channel regions of field-effect devices of FETs
    • H10D62/235Channel regions of field-effect devices of FETs of IGFETs
    • H10D62/292Non-planar channels of IGFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/17Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
    • H10D62/351Substrate regions of field-effect devices
    • H10D62/357Substrate regions of field-effect devices of FETs
    • H10D62/364Substrate regions of field-effect devices of FETs of IGFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/017Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/20Electrodes characterised by their shapes, relative sizes or dispositions 
    • H10D64/27Electrodes not carrying the current to be rectified, amplified, oscillated or switched, e.g. gates
    • H10D64/311Gate electrodes for field-effect devices
    • H10D64/411Gate electrodes for field-effect devices for FETs
    • H10D64/511Gate electrodes for field-effect devices for FETs for IGFETs
    • H10D64/517Gate electrodes for field-effect devices for FETs for IGFETs characterised by the conducting layers
    • H10D64/518Gate electrodes for field-effect devices for FETs for IGFETs characterised by the conducting layers characterised by their lengths or sectional shapes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/667Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0135Manufacturing their gate conductors
    • H10D84/014Manufacturing their gate conductors the gate conductors having different materials or different implants
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0172Manufacturing their gate conductors
    • H10D84/0177Manufacturing their gate conductors the gate conductors having different materials or different implants
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/02Manufacture or treatment characterised by using material-based technologies
    • H10D84/03Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
    • H10D84/038Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • H10D84/85Complementary IGFETs, e.g. CMOS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • H10D84/85Complementary IGFETs, e.g. CMOS
    • H10D84/856Complementary IGFETs, e.g. CMOS the complementary IGFETs having different architectures than each other, e.g. high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0144Manufacturing their gate insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0181Manufacturing their gate insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Materials Engineering (AREA)

Abstract

A semiconductor device includes a first transistor having a first threshold voltage, and including first channels, first source/drain layers connected to opposite sidewalls of the first channels, and a first gate structure surrounding the first channels and including a first gate insulation pattern, a first threshold voltage control pattern, and a first workfunction 5 metal pattern sequentially stacked. The semiconductor device includes a second transistor having a second threshold voltage greater than the first threshold voltage, and including second channels, second source/drain layers connected to opposite sidewalls of the second channels, and a second gate structure surrounding the second channels and including a second gate insulation pattern, a second threshold voltage control pattern, and a second 10 workfunction metal pattern sequentially stacked. A thickness of the second threshold voltage control pattern is equal to or less than a thickness of the first threshold voltage control pattern. FIG. 3A 15
SG10201807790YA 2017-09-18 2018-09-10 Semiconductor devices SG10201807790YA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170119813A KR102316293B1 (en) 2017-09-18 2017-09-18 Semiconductor devices

Publications (1)

Publication Number Publication Date
SG10201807790YA true SG10201807790YA (en) 2019-04-29

Family

ID=65720886

Family Applications (1)

Application Number Title Priority Date Filing Date
SG10201807790YA SG10201807790YA (en) 2017-09-18 2018-09-10 Semiconductor devices

Country Status (4)

Country Link
US (4) US10381490B2 (en)
KR (1) KR102316293B1 (en)
CN (1) CN109524468B (en)
SG (1) SG10201807790YA (en)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102316293B1 (en) 2017-09-18 2021-10-22 삼성전자주식회사 Semiconductor devices
US11062959B2 (en) * 2018-03-19 2021-07-13 International Business Machines Corporation Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors
CN110970432A (en) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 Fully-enclosed gate nanosheet complementary inverter structure and manufacturing method thereof
KR102718980B1 (en) 2019-05-13 2024-10-18 삼성전자주식회사 Integrated circuit device
US11133305B2 (en) * 2019-05-15 2021-09-28 International Business Machines Corporation Nanosheet P-type transistor with oxygen reservoir
US11245022B2 (en) 2019-05-24 2022-02-08 Applied Materials, Inc. Integrated dipole flow for transistor
US11862637B2 (en) * 2019-06-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tie off device
US11222964B2 (en) * 2019-07-08 2022-01-11 Tokyo Electron Limited Multiple planes of transistors with different transistor architectures to enhance 3D logic and memory circuits
KR102757532B1 (en) 2019-07-22 2025-01-22 삼성전자주식회사 Semiconductor device
CN113348536B (en) * 2019-09-13 2024-04-02 株式会社日立高新技术 Semiconductor device manufacturing method and plasma processing device
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US20210118874A1 (en) * 2019-10-21 2021-04-22 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US20210126018A1 (en) * 2019-10-24 2021-04-29 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
US11502168B2 (en) * 2019-10-30 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage in nanosheet transitor devices
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11152264B2 (en) * 2020-01-08 2021-10-19 International Business Machines Corporation Multi-Vt scheme with same dipole thickness for gate-all-around transistors
US11183584B2 (en) * 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11610822B2 (en) * 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
DE102020119609A1 (en) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. NEW GATE STRUCTURES FOR SETTING THE LIMIT VOLTAGE
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
CN113130483A (en) 2020-02-27 2021-07-16 台湾积体电路制造股份有限公司 Semiconductor structure
US11594614B2 (en) 2020-03-30 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. P-metal gate first gate replacement process for multigate devices
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
DE102021108598A1 (en) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. HETEROSTRUCTURAL OXIDE SEMICONDUCTOR TRANSISTOR WITH VERTICAL GATE-ALL-AROUND (VGAA) AND PROCESS FOR THE PRODUCTION OF IT
US12015066B2 (en) * 2020-06-17 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Triple layer high-k gate dielectric stack for workfunction engineering
US11735669B2 (en) * 2020-07-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertically-oriented complementary transistor
US11810960B2 (en) * 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
CN112185892B (en) * 2020-09-09 2023-04-07 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof, integrated circuit and electronic equipment
KR20220034574A (en) * 2020-09-11 2022-03-18 삼성전자주식회사 Semiconductor device
US11791216B2 (en) * 2020-09-15 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure field-effect transistor device and method of forming
US20220093596A1 (en) * 2020-09-23 2022-03-24 Intel Corporation Fabrication of gate-all-around integrated circuit structures having common metal gates and having gate dielectrics with a dipole layer
US20220093648A1 (en) * 2020-09-23 2022-03-24 Intel Corporation Fabrication of gate-all-around integrated circuit structures having additive metal gates and gate dielectrics with a dipole layer
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11387342B1 (en) 2020-12-18 2022-07-12 International Business Machines Corporation Multi threshold voltage for nanosheet
US12183739B2 (en) * 2020-12-18 2024-12-31 Intel Corporation Ribbon or wire transistor stack with selective dipole threshold voltage shifter
US20220199472A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Dipole threshold voltage tuning for high voltage transistor stacks
CN112687626B (en) * 2020-12-24 2023-01-03 中国科学院微电子研究所 CFET structure, preparation method thereof and semiconductor device applying CFET structure
CN114883408B (en) * 2021-02-05 2024-12-03 北方集成电路技术创新中心(北京)有限公司 Semiconductor structure and method for forming the same
US12087771B2 (en) * 2021-03-31 2024-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning gate scheme for nanosheet rule scaling
US11784225B2 (en) * 2021-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US20230062210A1 (en) * 2021-08-30 2023-03-02 Intel Corporation Dual metal gate structures on nanoribbon semiconductor devices
US20230071699A1 (en) * 2021-09-09 2023-03-09 Intel Corporation Gate end cap and boundary placement in transistor structures for n-metal oxide semiconductor (n-mos) performance tuning
US20230209799A1 (en) * 2021-12-23 2023-06-29 Intel Corporation Sram with dipole dopant threshold voltage modulation for greater read stability
US12112951B2 (en) 2022-02-17 2024-10-08 Applied Materials, Inc. Integrated dipole region for transistor
CN118540934A (en) * 2023-02-23 2024-08-23 北京超弦存储器研究院 3D stacked semiconductor device, manufacturing method thereof and electronic equipment
US20240332008A1 (en) * 2023-03-27 2024-10-03 Applied Materials, Inc. Integrated dipole region for transistor

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US6448590B1 (en) * 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
US6861712B2 (en) * 2003-01-15 2005-03-01 Sharp Laboratories Of America, Inc. MOSFET threshold voltage tuning with metal gate stack control
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100604908B1 (en) * 2004-10-11 2006-07-28 삼성전자주식회사 Thin-body channel CMOS device having heterogeneous gate insulating film and method of manufacturing same
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
KR100699839B1 (en) * 2005-04-21 2007-03-27 삼성전자주식회사 A semiconductor device having multiple channels and a method of manufacturing the same.
US7488656B2 (en) * 2005-04-29 2009-02-10 International Business Machines Corporation Removal of charged defects from metal oxide-gate stacks
US7385251B2 (en) * 2006-01-18 2008-06-10 International Business Machines Corporation Area-efficient gated diode structure and method of forming same
KR101551901B1 (en) * 2008-12-31 2015-09-09 삼성전자주식회사 Semiconductor memory devices and methods of forming the same
KR20120103676A (en) * 2009-12-04 2012-09-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
KR101815527B1 (en) * 2010-10-07 2018-01-05 삼성전자주식회사 Semiconductor device and method for manufacturing the same
JP2012156237A (en) * 2011-01-25 2012-08-16 Toshiba Corp Method of manufacturing semiconductor storage device and semiconductor storage device
US9082702B2 (en) 2012-02-27 2015-07-14 Applied Materials, Inc. Atomic layer deposition methods for metal gate electrodes
US9105498B2 (en) * 2012-03-01 2015-08-11 International Business Machines Corporation Gate strain induced work function engineering
KR20130127257A (en) * 2012-05-14 2013-11-22 삼성전자주식회사 Semiconductor device and method for manufacturing the device
KR20140034347A (en) 2012-08-31 2014-03-20 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9496143B2 (en) 2012-11-06 2016-11-15 Globalfoundries Inc. Metal gate structure for midgap semiconductor device and method of making same
KR102056582B1 (en) * 2013-06-05 2020-01-22 삼성전자 주식회사 Semiconductor device and method for the same
CN104425384B (en) * 2013-09-10 2017-08-01 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor device and its manufacturing method
KR102128450B1 (en) * 2013-11-12 2020-06-30 에스케이하이닉스 주식회사 Method and gate ructure for threshold voltage modulation in transistors
US9219155B2 (en) * 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
US10276562B2 (en) 2014-01-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple threshold voltage and method of fabricating the same
CN104766823A (en) * 2014-01-07 2015-07-08 中国科学院微电子研究所 Semiconductor device manufacturing method
US9620591B2 (en) * 2014-02-19 2017-04-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-level work function and multi-valued channel doping of nanowire transistors to improve drive current
US9570579B2 (en) * 2014-02-19 2017-02-14 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-level work function
US9455201B2 (en) * 2014-02-25 2016-09-27 Globalfoundries Inc. Integration method for fabrication of metal gate based multiple threshold voltage devices and circuits
US9362180B2 (en) * 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US9576952B2 (en) * 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US10109534B2 (en) * 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US9330938B2 (en) * 2014-07-24 2016-05-03 International Business Machines Corporation Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme
US9922880B2 (en) 2014-09-26 2018-03-20 Qualcomm Incorporated Method and apparatus of multi threshold voltage CMOS
CN105826265B (en) 2015-01-09 2019-05-28 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
KR102211254B1 (en) 2015-02-03 2021-02-04 삼성전자주식회사 Semiconductor device and fabricating method thereof
TWI635535B (en) 2015-03-10 2018-09-11 聯華電子股份有限公司 Semiconductor process and semiconductor structure of metal gates with different threshold voltages
US9466610B1 (en) 2015-03-24 2016-10-11 Macronix International Co., Ltd. Method of fabricating three-dimensional gate-all-around vertical gate structures and semiconductor devices, and three-dimensional gate-all-round vertical gate structures and semiconductor devices thereof
KR102290685B1 (en) * 2015-06-04 2021-08-17 삼성전자주식회사 Semiconductor device
KR102358318B1 (en) 2015-06-04 2022-02-04 삼성전자주식회사 Semiconductor device having multi work function gate patterns
US9613959B2 (en) * 2015-07-28 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming metal gate to mitigate antenna defect
CN106409677B (en) * 2015-07-30 2020-03-10 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
KR102286112B1 (en) 2015-10-21 2021-08-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US10490643B2 (en) 2015-11-24 2019-11-26 United Microelectronics Corp. Semiconductor device and method for fabricating the same
KR102474431B1 (en) * 2015-12-08 2022-12-06 삼성전자주식회사 Method of manufacturing semiconductor devices
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
KR102374052B1 (en) 2016-02-26 2022-03-14 삼성전자주식회사 A semiconductor device and methods of manufacturing the same
KR102476143B1 (en) 2016-02-26 2022-12-12 삼성전자주식회사 Semiconductor device
KR102413782B1 (en) * 2016-03-02 2022-06-28 삼성전자주식회사 Semiconductor devices
US9899264B2 (en) * 2016-06-30 2018-02-20 International Business Machines Corporation Integrated metal gate CMOS devices
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
KR102490696B1 (en) * 2016-11-07 2023-01-19 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10553583B2 (en) * 2017-08-28 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Boundary region for high-k-metal-gate(HKMG) integration technology
KR102379707B1 (en) * 2017-09-13 2022-03-28 삼성전자주식회사 semiconductor device
KR102316293B1 (en) * 2017-09-18 2021-10-22 삼성전자주식회사 Semiconductor devices
US10600695B2 (en) * 2018-05-22 2020-03-24 International Business Machines Corporation Channel strain formation in vertical transport FETS with dummy stressor materials
US10629752B1 (en) * 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
US10763177B1 (en) * 2019-03-01 2020-09-01 International Business Machines Corporation I/O device for gate-all-around transistors
US11075280B2 (en) * 2019-04-17 2021-07-27 International Business Machines Corporation Self-aligned gate and junction for VTFET
KR102740372B1 (en) * 2019-09-03 2024-12-12 삼성전자주식회사 Semiconductor devices
CN113348536B (en) * 2019-09-13 2024-04-02 株式会社日立高新技术 Semiconductor device manufacturing method and plasma processing device
US11374090B2 (en) * 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11257815B2 (en) * 2019-10-31 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Work function design to increase density of nanosheet devices
US11133217B1 (en) * 2020-03-27 2021-09-28 International Business Machines Corporation Late gate cut with optimized contact trench size
US11699735B2 (en) * 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11699736B2 (en) * 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11563083B2 (en) * 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11658216B2 (en) * 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
KR20230138555A (en) * 2021-03-23 2023-10-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3D NAND memory and its manufacturing method
US20220310655A1 (en) * 2021-03-29 2022-09-29 Sandisk Technologies Llc Memory device including a ferroelectric semiconductor channel and methods of forming the same
US20220406909A1 (en) * 2021-06-17 2022-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with dual silicide and method
US11849578B2 (en) * 2021-07-29 2023-12-19 Sandisk Technologies Llc Three-dimensional memory device with a columnar memory opening arrangement and method of making thereof
KR20230046013A (en) * 2021-09-29 2023-04-05 삼성전자주식회사 Semiconductor devices and manufacturing methods for the same

Also Published As

Publication number Publication date
US11411124B2 (en) 2022-08-09
US20190088798A1 (en) 2019-03-21
KR20190031855A (en) 2019-03-27
US11784260B2 (en) 2023-10-10
US20220352389A1 (en) 2022-11-03
KR102316293B1 (en) 2021-10-22
US20210151610A1 (en) 2021-05-20
US10381490B2 (en) 2019-08-13
CN109524468B (en) 2023-12-15
CN109524468A (en) 2019-03-26
US10923602B2 (en) 2021-02-16
US20200035842A1 (en) 2020-01-30

Similar Documents

Publication Publication Date Title
SG10201807790YA (en) Semiconductor devices
SG10201805116YA (en) Semiconductor devices and manufacturing methods thereof
SG10201808204VA (en) Semiconductor devices and methods of manufacturing the same
SG10201802450PA (en) Semiconductor device and method of manufacturing the same
TW201613097A (en) Semiconductor device and method of fabricating non-planar circuit device
MY188298A (en) Multi-gate high electron mobility transistors and methods of fabrication
SG10201804486SA (en) Semiconductor devices including recessed source/drain silicides and methods of forming the same
SG10201804609UA (en) Semiconductor device and manufacturing method thereof
SG10201804042RA (en) Semiconductor Memory Devices
SG10201803922PA (en) Semiconductor Device
JP2016149552A5 (en) Semiconductor device and electronic equipment
EP2833410A3 (en) Nonvolatile memory transistor, device including the same and method of operating the transistor
GB2566233A (en) Vertical fet devices with multiple channel lengths
GB2540285A (en) Carbon nanotube transistor having extended contacts
JP2015109433A5 (en)
JP2015181158A5 (en) Semiconductor device
WO2015017511A3 (en) Gate with self-aligned ledge for enhancement mode gan transistors
WO2014190069A8 (en) Enhancement-mode transistors with increased threshold voltage
WO2018002048A8 (en) Short channel trench power mosfet
EP3748684A3 (en) Semiconductor device having group iii-v material active region and graded gate dielectric
TW201613094A (en) Structure of fin feature and method of making same
WO2016133677A3 (en) Systems and methods of forming an interfacial dipole layer
EP2811518A3 (en) Semiconductor device
TW201614831A (en) Semiconductor device
JP2016110688A5 (en) Semiconductor device