KR20160127069A - 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 - Google Patents
현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 Download PDFInfo
- Publication number
- KR20160127069A KR20160127069A KR1020167026319A KR20167026319A KR20160127069A KR 20160127069 A KR20160127069 A KR 20160127069A KR 1020167026319 A KR1020167026319 A KR 1020167026319A KR 20167026319 A KR20167026319 A KR 20167026319A KR 20160127069 A KR20160127069 A KR 20160127069A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- photoresist layer
- wavelength
- exposure
- light
- Prior art date
Links
- 239000006117 anti-reflective coating Substances 0.000 title claims abstract description 154
- 238000000034 method Methods 0.000 title claims abstract description 115
- 239000000126 substance Substances 0.000 title abstract description 34
- 230000003321 amplification Effects 0.000 title description 7
- 238000003199 nucleic acid amplification method Methods 0.000 title description 7
- 239000007943 implant Substances 0.000 title description 6
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 245
- 239000002253 acid Substances 0.000 claims abstract description 133
- 239000000758 substrate Substances 0.000 claims abstract description 47
- 239000010410 layer Substances 0.000 claims description 382
- 150000001875 compounds Chemical class 0.000 claims description 30
- 230000003287 optical effect Effects 0.000 claims description 21
- 230000004913 activation Effects 0.000 claims description 19
- 238000012545 processing Methods 0.000 claims description 11
- 125000005409 triarylsulfonium group Chemical group 0.000 claims description 7
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical compound C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 claims description 5
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 claims description 4
- YVGGHNCTFXOJCH-UHFFFAOYSA-N DDT Chemical compound C1=CC(Cl)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(Cl)C=C1 YVGGHNCTFXOJCH-UHFFFAOYSA-N 0.000 claims description 4
- 238000000151 deposition Methods 0.000 claims description 4
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 claims description 4
- -1 triarylsulfonium hexafluoroantimonate salt Chemical class 0.000 claims description 4
- 239000012953 triphenylsulfonium Substances 0.000 claims description 4
- AOJOEFVRHOZDFN-UHFFFAOYSA-N benzyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCC1=CC=CC=C1 AOJOEFVRHOZDFN-UHFFFAOYSA-N 0.000 claims description 3
- YFSUTJLHUFNCNZ-UHFFFAOYSA-N perfluorooctane-1-sulfonic acid Chemical compound OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-N 0.000 claims description 3
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 claims description 3
- LWHOMMCIJIJIGV-UHFFFAOYSA-N (1,3-dioxobenzo[de]isoquinolin-2-yl) trifluoromethanesulfonate Chemical compound C1=CC(C(N(OS(=O)(=O)C(F)(F)F)C2=O)=O)=C3C2=CC=CC3=C1 LWHOMMCIJIJIGV-UHFFFAOYSA-N 0.000 claims description 2
- IAKOZHOLGAGEJT-UHFFFAOYSA-N 1,1,1-trichloro-2,2-bis(p-methoxyphenyl)-Ethane Chemical compound C1=CC(OC)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(OC)C=C1 IAKOZHOLGAGEJT-UHFFFAOYSA-N 0.000 claims description 2
- AHJKRLASYNVKDZ-UHFFFAOYSA-N DDD Chemical compound C=1C=C(Cl)C=CC=1C(C(Cl)Cl)C1=CC=C(Cl)C=C1 AHJKRLASYNVKDZ-UHFFFAOYSA-N 0.000 claims description 2
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 claims description 2
- SLGBZMMZGDRARJ-UHFFFAOYSA-N Triphenylene Natural products C1=CC=C2C3=CC=CC=C3C3=CC=CC=C3C2=C1 SLGBZMMZGDRARJ-UHFFFAOYSA-N 0.000 claims description 2
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 claims description 2
- 150000004056 anthraquinones Chemical class 0.000 claims description 2
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 claims description 2
- 239000012965 benzophenone Substances 0.000 claims description 2
- YLQWCDOCJODRMT-UHFFFAOYSA-N fluoren-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3C2=C1 YLQWCDOCJODRMT-UHFFFAOYSA-N 0.000 claims description 2
- DCUJJWWUNKIJPH-UHFFFAOYSA-N nitrapyrin Chemical compound ClC1=CC=CC(C(Cl)(Cl)Cl)=N1 DCUJJWWUNKIJPH-UHFFFAOYSA-N 0.000 claims description 2
- YBNLWIZAWPBUKQ-UHFFFAOYSA-N trichloro(trichloromethylsulfonyl)methane Chemical compound ClC(Cl)(Cl)S(=O)(=O)C(Cl)(Cl)Cl YBNLWIZAWPBUKQ-UHFFFAOYSA-N 0.000 claims description 2
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 claims description 2
- 125000005580 triphenylene group Chemical group 0.000 claims description 2
- 238000003672 processing method Methods 0.000 claims 4
- DEIGXXQKDWULML-UHFFFAOYSA-N 1,2,5,6,9,10-hexabromocyclododecane Chemical compound BrC1CCC(Br)C(Br)CCC(Br)C(Br)CCC1Br DEIGXXQKDWULML-UHFFFAOYSA-N 0.000 claims 1
- HZPDBAQASFLSRS-UHFFFAOYSA-N ClC(Cl)(Cl)C1=C(C=CC(=C1)N)C1=CC=C(N)C=C1 Chemical compound ClC(Cl)(Cl)C1=C(C=CC(=C1)N)C1=CC=C(N)C=C1 HZPDBAQASFLSRS-UHFFFAOYSA-N 0.000 claims 1
- 239000003795 chemical substances by application Substances 0.000 claims 1
- 239000003504 photosensitizing agent Substances 0.000 abstract description 69
- 230000008569 process Effects 0.000 abstract description 62
- 238000000059 patterning Methods 0.000 abstract description 5
- 239000004065 semiconductor Substances 0.000 abstract description 2
- 230000015556 catabolic process Effects 0.000 abstract 1
- 238000006731 degradation reaction Methods 0.000 abstract 1
- 238000013459 approach Methods 0.000 description 23
- 239000000203 mixture Substances 0.000 description 17
- 238000006243 chemical reaction Methods 0.000 description 9
- 238000009792 diffusion process Methods 0.000 description 9
- 230000000694 effects Effects 0.000 description 9
- 239000000463 material Substances 0.000 description 8
- 238000002310 reflectometry Methods 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 6
- 238000010521 absorption reaction Methods 0.000 description 5
- 238000002347 injection Methods 0.000 description 5
- 239000007924 injection Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 238000010348 incorporation Methods 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 230000035945 sensitivity Effects 0.000 description 4
- 238000001228 spectrum Methods 0.000 description 4
- 238000002211 ultraviolet spectrum Methods 0.000 description 4
- 206010034972 Photosensitivity reaction Diseases 0.000 description 3
- 230000006978 adaptation Effects 0.000 description 3
- 230000003667 anti-reflective effect Effects 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 238000005457 optimization Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 239000011358 absorbing material Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004132 cross linking Methods 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- 238000009499 grossing Methods 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 230000031700 light absorption Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 150000002894 organic compounds Chemical class 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000003595 spectral effect Effects 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 230000008685 targeting Effects 0.000 description 2
- NPOAOTPXWNWTSH-UHFFFAOYSA-N 3-hydroxy-3-methylglutaric acid Chemical compound OC(=O)CC(O)(C)CC(O)=O NPOAOTPXWNWTSH-UHFFFAOYSA-N 0.000 description 1
- NVHKBSKYGPFWOE-YADHBBJMSA-N PS-PS Chemical compound CCCCCCCCCCCCCCCC(=O)OC[C@H](COP(O)(=O)OC[C@H](N)C(O)=O)OC(=O)CCC(O)=O NVHKBSKYGPFWOE-YADHBBJMSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000012952 cationic photoinitiator Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 125000000068 chlorophenyl group Chemical group 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 239000003623 enhancer Substances 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 230000005283 ground state Effects 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002596 lactones Chemical class 0.000 description 1
- 229960001961 meglutol Drugs 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 229920005575 poly(amic acid) Polymers 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 125000003866 trichloromethyl group Chemical group ClC(Cl)(Cl)* 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
- G03F7/203—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Structural Engineering (AREA)
- Architecture (AREA)
- Electromagnetism (AREA)
- Materials For Photolithography (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
Description
도 1a는 제1 범위의 광 파장에 노광된 후에 PS-CAR 반사 방지 코팅(anti- reflective coating: ARC)층을 위한 화학 농도 프로파일 및 제1 광 파장의 패터닝된 노광을 수용하는 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1b는 제2 범위의 광 파장에 노광된 후에 도 1a의 PS-CAR ARC층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광(flood exposure)을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1c는 제2 범위의 광 파장에 노광된 후에 PS-CAR 포토레지스트층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 1d는 제2 범위의 광 파장에 노광된 후에 PS-CAR 포토레지스트층을 위한 화학 농도 프로파일 및 제2 범위의 광 파장에 플러드 노광을 수용하는 그 기판 상의 필름 스택의 단면도를 도시하고 있다.
도 2는 필름 스택(예를 들어, 포토레지스트층)의 2단계 노광 프로세스를 위한 방법의 흐름도의 도면 및 방법의 일 실시예의 수반하는 단면도를 포함하고 있다.
도 3a 내지 도 3b는 다른 필름 스택(예를 들어, 포토레지스트층 및 ARC층)의 2단계 노광 프로세스를 위한 방법의 흐름도의 도면 및 방법의 일 실시예의 수반하는 단면도를 포함하고 있다.
Claims (20)
- 기판의 처리 방법으로서,
기판의 표면 상의 하위층, 상기 하위층 상의 반사 방지 코팅(anti-reflective coating: ARC)층 및 상기 ARC층 상의 포토레지스트층을 포함하는 기판을 수용하는 단계로서, 상기 ARC층은,
상기 ARC층에서 제1 산 농도로 산의 발생을 제어하는 제1 광 파장 활성화 임계치; 및
상기 제1 산 농도를 제2 산 농도로 증가시키는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장은 상기 제1 광 파장과는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 기판을 수용하는 단계;
상기 기판 상에 제1 파장의 광을 노광하는 단계로서, 제1 노광은 상기 기판의 패터닝된 노광이고, 상기 제1 노광은 상기 ARC층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
상기 기판 상에 제2 파장의 광을 노광하는 단계로서, 제2 노광은 상기 기판의 블랭킷 노광(blanket exposure)이고, 상기 제2 노광은 상기 ARC층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
상기 ARC층에서 상기 패터닝된 노광과 정렬된 하나 이상의 개구를 발생하도록 반사 방지 코팅층을 현상하는 것을 포함하는 기판 처리 방법. - 제1항에 있어서, 상기 포토레지스트층은,
상기 ARC층에서 제1 산 농도로 산의 발생을 제어하는 제1 광 파장 활성화 임계치; 및
상기 제1 산 농도를 제2 산 농도로 증가시키는 제2 광 파장 활성화 임계치
를 포함하며,
상기 제2 광 파장은 상기 제1 광 파장과 상이한 것인 기판 처리 방법. - 제1항에 있어서, 제2 파장의 광의 노광은 상기 ARC층과 상기 하위층 사이의 계면에서보다 상기 ARC층과 상기 포토레지스트층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 상부 계면 파장 및 상부 계면 선량(dose)을 포함하는 것인 기판 처리 방법.
- 제3항에 있어서, 상기 상부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 상부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 제2 파장의 광의 노광은 상기 ARC층과 상기 포토레지스트층 사이의 계면에서보다 상기 ARC층과 상기 하위층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 하부 계면 파장 및 하부 계면 선량을 포함하는 것인 기판 처리 방법.
- 제5항에 있어서, 상기 하부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 하부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 ARC층은 상기 ARC층과 상기 하위층의 계면에서보다 상기 ARC층과 상기 포토레지스트층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 상부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 ARC층은 상기 ARC층과 상기 포토레지스트층의 계면에서보다 상기 ARC층과 상기 하위층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 하부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 ARC층은,
감광제 발생 화합물로서,
아세토페논;
트리페닐렌;
벤조페논;
플루오레논;
안트라퀴논;
페난트렌; 및
이들의 유도체를 포함하는 것인 감광제 발생 화합물; 및
광산 발생 화합물로서,
트리페닐설포늄 트리플레이트, 트리페닐설포늄 노나플레이트, 트리페닐설포늄 퍼플루오로옥틸설포네이트, 트리아릴설포늄 트리플레이트, 트리아릴설포늄 노나플레이트, 트리아릴설포늄 퍼플루오로옥틸설포네이트, 트리페닐설포늄염, 트리아릴설포늄염, 트리아릴설포늄 헥사플루오로안티모네이트염, N-하이드록시나프탈이미드 트리플레이트, 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄(DDT), 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄, 1,2,5,6,9,10-헥사브로모사이클로도데칸, 1,10-디브로모데칸, 1,1-비스[p-클로로페닐]2,2-디클로로에탄, 4,4-디클로로-2-(트리클로로메틸)벤지드롤, 1,1-비스(클로로페닐)2-2,2-트리클로로에탄올, 헥사클로로디메틸설폰, 2-클로로-6-(트리클로로메틸)피리딘, 또는 이들의 유도체 중 적어도 하나를 포함하는 것인 광산 발생 화합물
을 포함하는 것인 기판 처리 방법. - 제1항에 있어서, DBARC는 벤질 메타크릴레이트 또는 메발론 락톤 메타크릴레이트를 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 ARC층은 30 nm 내지 120 nm의 두께를 포함하는 것인 기판 처리 방법.
- 제1항에 있어서, 상기 포토레지스트층은 30 nm 내지 60 nm 또는 80 nm 내지 250 nm의 두께를 포함하는 것인 기판 처리 방법.
- 기판의 처리 방법으로서,
기판 상에 하위층을 포함하는 기판을 수용하는 단계;
상기 하위층 상에 포토레지스트층을 증착하는 단계로서, 상기 포토레지스트는,
상기 포토레지스트층 내의 제1 산 농도로 산의 발생을 제어하는 제1 광 파장 활성화 임계치; 및
상기 제1 산 농도를 제2 산 농도로 증가시키는 제2 광 파장 활성화 임계치로서, 상기 제2 광 파장은 상기 제1 광 파장과는 상이한 것인, 상기 제2 광 파장 활성화 임계치를 갖는 것인, 상기 포토레지스트층을 증착하는 단계;
상기 기판 상에 패터닝된 마스크를 통해 제1 파장의 광을 노광하는 단계로서, 제1 노광은 포토레지스트층 내에 제1 농도의 산을 발생하는 것인, 상기 제1 파장의 광을 노광하는 단계;
상기 기판에 제2 파장의 광을 노광하는 단계로서, 제2 파장의 광은 상기 제1 파장의 광과는 상이한 파장을 포함하고, 제2 노광은 상기 포토레지스트층 내에 제2 농도의 산을 발생하고, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 상기 제2 파장의 광을 노광하는 단계; 및
상기 패터닝된 마스크와 정렬된 상기 포토레지스트층 내에 하나 이상의 개구를 발생시키도록 상기 포토레지스트층을 현상하는 단계를 포함하는 기판 처리 방법. - 제13항에 있어서, 상기 기판 상에 반사 방지 코팅(ARC)층을 증착하는 단계를 더 포함하고, 상기 ARC층은 상기 하위층과 상기 포토레지스트층 사이에 배치되는 것인 기판 처리 방법.
- 제13항에 있어서, 상기 제2 파장의 광의 노광은, 상기 포토레지스트층과 상기 하위층 사이의 계면에서보다 상기 포토레지스트층의 상부 영역에 근접하여 더 높은 농도의 산을 가능하게 하는 상부 계면 파장 및 상부 계면 선량을 포함하는 것인 기판 처리 방법.
- 제15항에 있어서, 상기 상부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 상부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
- 제13항에 있어서, 상기 제2 파장의 광의 노광은, 상기 포토레지스트층의 상부 영역에서보다 상기 포토레지스트층과 상기 하위층 사이의 계면에 근접하여 더 높은 농도의 산을 가능하게 하는 하부 계면 파장 및 하부 계면 선량을 포함하는 것인 기판 처리 방법.
- 제17항에 있어서, 상기 하부 계면 파장은 300 nm 내지 400 nm의 크기를 포함하고, 상기 하부 계면 선량은 0.1 J/cm2 내지 4J/cm2의 크기를 포함하는 것인 기판 처리 방법.
- 제13항에 있어서, 상기 포토레지스트층은 상기 포토레지스트층의 상부 영역에서보다 상기 하위층과 상기 포토레지스트층의 계면에 더 근접한 더 높은 광 간섭을 발생하는 상부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
- 제13항에 있어서, 상기 포토레지스트층은 상기 하위층과 상기 포토레지스트층의 계면에서보다 상기 포토레지스트층의 상부 영역에 더 근접한 더 높은 광 간섭을 발생하는 하부 계면 굴절률 또는 발색단 화합물을 포함하는 것인 기판 처리 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201461944394P | 2014-02-25 | 2014-02-25 | |
US61/944,394 | 2014-02-25 | ||
PCT/US2015/017353 WO2015130695A1 (en) | 2014-02-25 | 2015-02-24 | Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20160127069A true KR20160127069A (ko) | 2016-11-02 |
KR102402422B1 KR102402422B1 (ko) | 2022-05-25 |
Family
ID=53882086
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020167026319A KR102402422B1 (ko) | 2014-02-25 | 2015-02-24 | 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 |
Country Status (6)
Country | Link |
---|---|
US (2) | US10020195B2 (ko) |
JP (2) | JP6895600B2 (ko) |
KR (1) | KR102402422B1 (ko) |
DE (1) | DE112015000546T5 (ko) |
TW (1) | TWI553417B (ko) |
WO (1) | WO2015130695A1 (ko) |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6758575B2 (ja) * | 2014-02-24 | 2020-09-23 | 東京エレクトロン株式会社 | 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 |
DE112015000546T5 (de) * | 2014-02-25 | 2016-11-10 | Tokyo Electron Limited | Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists |
JP6386546B2 (ja) * | 2014-05-21 | 2018-09-05 | 国立大学法人大阪大学 | レジストパターン形成方法およびレジスト材料 |
JP6809843B2 (ja) * | 2015-08-20 | 2021-01-06 | 国立大学法人大阪大学 | パターン形成方法 |
US10429745B2 (en) * | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
US10048594B2 (en) * | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10386723B2 (en) * | 2016-03-04 | 2019-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with flexible solution adjustment |
TWI662360B (zh) | 2016-05-13 | 2019-06-11 | 東京威力科創股份有限公司 | 藉由使用光劑之臨界尺寸控制 |
CN109313394B (zh) * | 2016-05-13 | 2021-07-02 | 东京毅力科创株式会社 | 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 |
US11112698B2 (en) * | 2016-11-29 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist with gradient composition for improved uniformity |
US11061332B2 (en) | 2017-09-22 | 2021-07-13 | Tokyo Electron Limited | Methods for sensitizing photoresist using flood exposures |
KR102374206B1 (ko) | 2017-12-05 | 2022-03-14 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US10910466B2 (en) * | 2018-10-22 | 2021-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for tuning via profile in dielectric material |
WO2021034567A1 (en) * | 2019-08-16 | 2021-02-25 | Tokyo Electron Limited | Method and process for stochastic driven defectivity healing |
WO2021062188A1 (en) | 2019-09-25 | 2021-04-01 | Tokyo Electron Limited | Patterning a substrate |
KR20220080588A (ko) * | 2020-12-07 | 2022-06-14 | 삼성전자주식회사 | 집적회로 소자의 제조 방법 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6699641B1 (en) * | 2001-12-12 | 2004-03-02 | Advanced Micro Devices, Inc. | Photosensitive bottom anti-reflective coating |
JP2005175259A (ja) * | 2003-12-12 | 2005-06-30 | Semiconductor Leading Edge Technologies Inc | パターン形成方法、マスクおよび露光装置 |
JP2012511743A (ja) * | 2008-12-10 | 2012-05-24 | ダウ コーニング コーポレーション | 切り替え可能な反射防止膜 |
Family Cites Families (121)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2541624C2 (de) * | 1975-09-18 | 1982-09-16 | Ibm Deutschland Gmbh, 7000 Stuttgart | Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis |
JPS5445102A (en) * | 1977-09-16 | 1979-04-10 | Mitsubishi Electric Corp | Undulation pattern forming method |
US4308337A (en) * | 1980-03-10 | 1981-12-29 | Rca Corporation | Uniform light exposure of positive photoresist for replicating spiral groove in plastic substrate |
US4402571A (en) * | 1981-02-17 | 1983-09-06 | Polaroid Corporation | Method for producing a surface relief pattern |
US4609615A (en) * | 1983-03-31 | 1986-09-02 | Oki Electric Industry Co., Ltd. | Process for forming pattern with negative resist using quinone diazide compound |
EP0203215B1 (de) * | 1985-05-29 | 1990-02-21 | Ibm Deutschland Gmbh | Verfahren zur Reparatur von Transmissionsmasken |
US4626492A (en) * | 1985-06-04 | 1986-12-02 | Olin Hunt Specialty Products, Inc. | Positive-working o-quinone diazide photoresist composition containing a dye and a trihydroxybenzophenone compound |
US4931380A (en) * | 1985-07-18 | 1990-06-05 | Microsi, Inc. | Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist |
JPH0654390B2 (ja) | 1986-07-18 | 1994-07-20 | 東京応化工業株式会社 | 高耐熱性ポジ型ホトレジスト組成物 |
JPH0740543B2 (ja) * | 1987-02-17 | 1995-05-01 | 松下電子工業株式会社 | 半導体装置の製造方法 |
JPH04205936A (ja) * | 1990-11-30 | 1992-07-28 | Hitachi Ltd | 転写用成形媒体およびその製造方法 |
JPH04239116A (ja) | 1991-01-14 | 1992-08-27 | Fujitsu Ltd | 半導体装置の製造方法 |
JP3081655B2 (ja) | 1991-03-12 | 2000-08-28 | 株式会社東芝 | レジストパターンの形成方法 |
JP2723405B2 (ja) | 1991-11-12 | 1998-03-09 | 松下電器産業株式会社 | 微細電極の形成方法 |
US5294680A (en) | 1992-07-24 | 1994-03-15 | International Business Machines Corporation | Polymeric dyes for antireflective coatings |
JP3158710B2 (ja) | 1992-09-16 | 2001-04-23 | 日本ゼオン株式会社 | 化学増幅レジストパターンの形成方法 |
US5468595A (en) * | 1993-01-29 | 1995-11-21 | Electron Vision Corporation | Method for three-dimensional control of solubility properties of resist layers |
JPH06275484A (ja) | 1993-03-23 | 1994-09-30 | Hitachi Ltd | パターン形成方法 |
US5534970A (en) | 1993-06-11 | 1996-07-09 | Nikon Corporation | Scanning exposure apparatus |
TW276353B (ko) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JPH08181049A (ja) | 1994-12-21 | 1996-07-12 | Fujitsu Ltd | パターン形成方法 |
JPH0990621A (ja) | 1995-09-21 | 1997-04-04 | Canon Inc | レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法 |
JP2910654B2 (ja) | 1996-01-30 | 1999-06-23 | 日本電気株式会社 | レジストパターン形成方法 |
JP2867964B2 (ja) | 1996-06-27 | 1999-03-10 | 日本電気株式会社 | レジスト膜パターンの形成方法 |
JPH1083947A (ja) | 1996-09-09 | 1998-03-31 | Hitachi Ltd | レジストパターン形成方法およびその装置 |
US5905019A (en) * | 1997-09-26 | 1999-05-18 | International Business Machines Corporation | Thin resist process by sub-threshold exposure |
JPH11237737A (ja) * | 1997-12-19 | 1999-08-31 | Kansai Shingijutsu Kenkyusho:Kk | 感光性樹脂組成物およびその製造方法 |
US5976770A (en) * | 1998-01-15 | 1999-11-02 | Shipley Company, L.L.C. | Dyed photoresists and methods and articles of manufacture comprising same |
US6180320B1 (en) | 1998-03-09 | 2001-01-30 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
US6245492B1 (en) | 1998-08-13 | 2001-06-12 | International Business Machines Corporation | Photoresist system and process for aerial image enhancement |
US6040118A (en) * | 1998-10-30 | 2000-03-21 | Advanced Micro Devices, Inc. | Critical dimension equalization across the field by second blanket exposure at low dose over bleachable resist |
JP3253604B2 (ja) * | 1998-11-13 | 2002-02-04 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
JP2000208408A (ja) | 1999-01-19 | 2000-07-28 | Nec Corp | 化学増幅系レジストのパタ―ン形成方法 |
JP4557328B2 (ja) | 1999-02-01 | 2010-10-06 | 富士フイルム株式会社 | ポジ型フォトレジスト組成物 |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6582891B1 (en) * | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
JP2002006512A (ja) | 2000-06-20 | 2002-01-09 | Mitsubishi Electric Corp | 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法 |
US6420101B1 (en) * | 2000-06-21 | 2002-07-16 | Infineon Technologies A G | Method of reducing post-development defects in and around openings formed in photoresist by use of non-patterned exposure |
EP1226470A2 (en) | 2000-06-22 | 2002-07-31 | Koninklijke Philips Electronics N.V. | Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method |
KR100721182B1 (ko) * | 2000-06-30 | 2007-05-23 | 주식회사 하이닉스반도체 | 유기반사방지막 조성물 및 그의 제조방법 |
US20020072488A1 (en) * | 2000-12-12 | 2002-06-13 | Merkulov Gennady V. | Isolated human transporter proteins, nucleic acid molecules encoding human transporter proteins, and uses thereof |
US6548219B2 (en) | 2001-01-26 | 2003-04-15 | International Business Machines Corporation | Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions |
US6555479B1 (en) * | 2001-06-11 | 2003-04-29 | Advanced Micro Devices, Inc. | Method for forming openings for conductive interconnects |
WO2003001297A2 (en) | 2001-06-26 | 2003-01-03 | Kla-Tencor Corporation | Method for determining lithographic focus and exposure |
JP3901997B2 (ja) | 2001-11-27 | 2007-04-04 | 富士通株式会社 | レジスト材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法 |
US7070914B2 (en) * | 2002-01-09 | 2006-07-04 | Az Electronic Materials Usa Corp. | Process for producing an image using a first minimum bottom antireflective coating composition |
US7136796B2 (en) | 2002-02-28 | 2006-11-14 | Timbre Technologies, Inc. | Generation and use of integrated circuit profile-based simulation information |
JP4410977B2 (ja) * | 2002-07-09 | 2010-02-10 | 富士通株式会社 | 化学増幅レジスト材料及びそれを用いたパターニング方法 |
US6900001B2 (en) * | 2003-01-31 | 2005-05-31 | Applied Materials, Inc. | Method for modifying resist images by electron beam exposure |
US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
SG115693A1 (en) | 2003-05-21 | 2005-10-28 | Asml Netherlands Bv | Method for coating a substrate for euv lithography and substrate with photoresist layer |
US7186486B2 (en) | 2003-08-04 | 2007-03-06 | Micronic Laser Systems Ab | Method to pattern a substrate |
JP4545426B2 (ja) | 2003-12-12 | 2010-09-15 | ルネサスエレクトロニクス株式会社 | パターン形成方法 |
TWI471900B (zh) | 2004-02-20 | 2015-02-01 | 尼康股份有限公司 | Exposure method, exposure apparatus, exposure system, and device manufacturing method |
US20050214674A1 (en) * | 2004-03-25 | 2005-09-29 | Yu Sui | Positive-working photoimageable bottom antireflective coating |
JP4481723B2 (ja) | 2004-05-25 | 2010-06-16 | 株式会社東芝 | 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム |
JP4524154B2 (ja) | 2004-08-18 | 2010-08-11 | 富士フイルム株式会社 | 化学増幅型レジスト組成物及びそれを用いたパターン形成方法 |
US20060269879A1 (en) * | 2005-05-24 | 2006-11-30 | Infineon Technologies Ag | Method and apparatus for a post exposure bake of a resist |
US7488933B2 (en) | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
ATE410460T1 (de) * | 2005-08-23 | 2008-10-15 | Fujifilm Corp | Härtbare tinte enthaltend modifiziertes oxetan |
KR100703007B1 (ko) * | 2005-11-17 | 2007-04-06 | 삼성전자주식회사 | 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법 |
US20070275330A1 (en) | 2006-05-25 | 2007-11-29 | International Business Machines Corporation | Bottom anti-reflective coating |
US7687205B2 (en) | 2006-06-15 | 2010-03-30 | The Boeing Company | Photolithographic method and apparatus employing a polychromatic mask |
JP2007334036A (ja) * | 2006-06-15 | 2007-12-27 | Sekisui Chem Co Ltd | 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ |
JP5610176B2 (ja) | 2006-09-15 | 2014-10-22 | 三菱レイヨン株式会社 | 重合体、その製造方法、レジスト組成物及びその応用 |
DE102006053074B4 (de) | 2006-11-10 | 2012-03-29 | Qimonda Ag | Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung |
JP4678383B2 (ja) | 2007-03-29 | 2011-04-27 | 信越化学工業株式会社 | 化学増幅ネガ型レジスト組成物及びパターン形成方法 |
US7648918B2 (en) * | 2007-08-20 | 2010-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of pattern formation in semiconductor fabrication |
US8309297B2 (en) * | 2007-10-05 | 2012-11-13 | Micron Technology, Inc. | Methods of lithographically patterning a substrate |
US20090096106A1 (en) | 2007-10-12 | 2009-04-16 | Air Products And Chemicals, Inc. | Antireflective coatings |
US8088548B2 (en) * | 2007-10-23 | 2012-01-03 | Az Electronic Materials Usa Corp. | Bottom antireflective coating compositions |
JP4961324B2 (ja) | 2007-10-26 | 2012-06-27 | 富士フイルム株式会社 | 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法 |
KR101585992B1 (ko) * | 2007-12-20 | 2016-01-19 | 삼성전자주식회사 | 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법 |
US8236476B2 (en) * | 2008-01-08 | 2012-08-07 | International Business Machines Corporation | Multiple exposure photolithography methods and photoresist compositions |
US20090214985A1 (en) | 2008-02-27 | 2009-08-27 | Tokyo Electron Limited | Method for reducing surface defects on patterned resist features |
US20090274974A1 (en) | 2008-04-30 | 2009-11-05 | David Abdallah | Spin-on graded k silicon antireflective coating |
US7966582B2 (en) | 2008-05-23 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for modeling long-range EUVL flare |
US20110171569A1 (en) | 2008-06-12 | 2011-07-14 | Yuichi Nishimae | Sulfonium derivatives and the use therof as latent acids |
NL2003654A (en) | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
US8455176B2 (en) * | 2008-11-12 | 2013-06-04 | Az Electronic Materials Usa Corp. | Coating composition |
JP5632387B2 (ja) * | 2008-12-10 | 2014-11-26 | ダウ コーニング コーポレーションDow Corning Corporation | 湿式エッチング可能な反射防止膜 |
CN102395925B (zh) * | 2009-02-19 | 2015-06-03 | 布鲁尔科技公司 | 可溶于显影剂的酸敏性底部减反射涂料 |
US8124326B2 (en) * | 2009-03-03 | 2012-02-28 | Micron Technology, Inc. | Methods of patterning positive photoresist |
DE102009015717B4 (de) | 2009-03-31 | 2012-12-13 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage |
US8568964B2 (en) | 2009-04-27 | 2013-10-29 | Tokyo Electron Limited | Flood exposure process for dual tone development in lithographic applications |
JP5011345B2 (ja) | 2009-05-15 | 2012-08-29 | 東京エレクトロン株式会社 | レジストパターンのスリミング処理方法 |
KR101642033B1 (ko) | 2009-08-24 | 2016-07-22 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판 |
US8428762B2 (en) | 2009-08-28 | 2013-04-23 | Kla-Tencor Corporation | Spin coating modeling |
US8589827B2 (en) | 2009-11-12 | 2013-11-19 | Kla-Tencor Corporation | Photoresist simulation |
US8623458B2 (en) | 2009-12-18 | 2014-01-07 | International Business Machines Corporation | Methods of directed self-assembly, and layered structures formed therefrom |
EP2524907A1 (en) | 2010-01-14 | 2012-11-21 | Mitsubishi Gas Chemical Company, Inc. | Bicyclohexane derivative and production method for same |
US8795952B2 (en) | 2010-02-21 | 2014-08-05 | Tokyo Electron Limited | Line pattern collapse mitigation through gap-fill material application |
US8124319B2 (en) * | 2010-04-12 | 2012-02-28 | Nanya Technology Corp. | Semiconductor lithography process |
EP2472323A3 (en) * | 2010-12-31 | 2013-01-16 | Rohm and Haas Electronic Materials LLC | Polymerizable photoacid generators |
US8443308B2 (en) | 2011-05-02 | 2013-05-14 | Synopsys Inc. | EUV lithography flare calculation and compensation |
EP2729844B1 (en) | 2011-07-08 | 2021-07-28 | ASML Netherlands B.V. | Lithographic patterning process and resists to use therein |
CN103034048B (zh) * | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 光刻方法 |
JP5846046B2 (ja) | 2011-12-06 | 2016-01-20 | 信越化学工業株式会社 | レジスト保護膜材料及びパターン形成方法 |
JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
US8647817B2 (en) | 2012-01-03 | 2014-02-11 | Tokyo Electron Limited | Vapor treatment process for pattern smoothing and inline critical dimension slimming |
NL2010162A (en) | 2012-02-03 | 2013-08-06 | Asml Netherlands Bv | A lithography model for 3d resist profile simulations. |
CN103309164A (zh) * | 2012-03-09 | 2013-09-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9851639B2 (en) | 2012-03-31 | 2017-12-26 | International Business Machines Corporation | Photoacid generating polymers containing a urethane linkage for lithography |
JP5741518B2 (ja) | 2012-04-24 | 2015-07-01 | 信越化学工業株式会社 | レジスト下層膜材料及びパターン形成方法 |
JP6004172B2 (ja) | 2012-07-31 | 2016-10-05 | 日産化学工業株式会社 | カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物 |
JP2014143415A (ja) * | 2012-12-31 | 2014-08-07 | Rohm & Haas Electronic Materials Llc | イオン注入法 |
EP2960926B1 (en) * | 2013-02-20 | 2019-05-29 | Osaka University | Method of forming resist pattern |
KR102357133B1 (ko) | 2014-02-21 | 2022-01-28 | 도쿄엘렉트론가부시키가이샤 | 광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿 |
KR101845188B1 (ko) * | 2014-02-24 | 2018-04-03 | 도쿄엘렉트론가부시키가이샤 | 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화 |
JP6283120B2 (ja) * | 2014-02-24 | 2018-02-21 | 東京エレクトロン株式会社 | 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー |
JP6758575B2 (ja) | 2014-02-24 | 2020-09-23 | 東京エレクトロン株式会社 | 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 |
DE112015000546T5 (de) | 2014-02-25 | 2016-11-10 | Tokyo Electron Limited | Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists |
US9645495B2 (en) * | 2014-08-13 | 2017-05-09 | Tokyo Electron Limited | Critical dimension control in photo-sensitized chemically-amplified resist |
JP6809843B2 (ja) | 2015-08-20 | 2021-01-06 | 国立大学法人大阪大学 | パターン形成方法 |
JP6774814B2 (ja) | 2015-08-20 | 2020-10-28 | 国立大学法人大阪大学 | 化学増幅型レジスト材料及びパターン形成方法 |
JP6512994B2 (ja) | 2015-08-20 | 2019-05-15 | 国立大学法人大阪大学 | 化学増幅型レジスト材料 |
US10048594B2 (en) * | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
TWI662360B (zh) | 2016-05-13 | 2019-06-11 | 東京威力科創股份有限公司 | 藉由使用光劑之臨界尺寸控制 |
CN109313394B (zh) | 2016-05-13 | 2021-07-02 | 东京毅力科创株式会社 | 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 |
US11061332B2 (en) * | 2017-09-22 | 2021-07-13 | Tokyo Electron Limited | Methods for sensitizing photoresist using flood exposures |
-
2015
- 2015-02-24 DE DE112015000546.3T patent/DE112015000546T5/de active Pending
- 2015-02-24 JP JP2016570932A patent/JP6895600B2/ja active Active
- 2015-02-24 US US14/629,968 patent/US10020195B2/en active Active
- 2015-02-24 KR KR1020167026319A patent/KR102402422B1/ko active IP Right Grant
- 2015-02-24 WO PCT/US2015/017353 patent/WO2015130695A1/en active Application Filing
- 2015-02-25 TW TW104105998A patent/TWI553417B/zh active
-
2018
- 2018-07-09 US US16/030,153 patent/US12165870B2/en active Active
-
2019
- 2019-08-08 JP JP2019146060A patent/JP7074990B2/ja active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6699641B1 (en) * | 2001-12-12 | 2004-03-02 | Advanced Micro Devices, Inc. | Photosensitive bottom anti-reflective coating |
JP2005175259A (ja) * | 2003-12-12 | 2005-06-30 | Semiconductor Leading Edge Technologies Inc | パターン形成方法、マスクおよび露光装置 |
JP2012511743A (ja) * | 2008-12-10 | 2012-05-24 | ダウ コーニング コーポレーション | 切り替え可能な反射防止膜 |
Also Published As
Publication number | Publication date |
---|---|
TW201541194A (zh) | 2015-11-01 |
JP7074990B2 (ja) | 2022-05-25 |
WO2015130695A1 (en) | 2015-09-03 |
US20180315596A1 (en) | 2018-11-01 |
KR102402422B1 (ko) | 2022-05-25 |
DE112015000546T5 (de) | 2016-11-10 |
JP6895600B2 (ja) | 2021-06-30 |
TWI553417B (zh) | 2016-10-11 |
US20150241782A1 (en) | 2015-08-27 |
US10020195B2 (en) | 2018-07-10 |
JP2017507372A (ja) | 2017-03-16 |
US12165870B2 (en) | 2024-12-10 |
JP2019215562A (ja) | 2019-12-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US12165870B2 (en) | Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists | |
JP7009568B2 (ja) | 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 | |
JP6636196B2 (ja) | 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減 | |
JP5705103B2 (ja) | パターン形成方法 | |
CN103649830B (zh) | 光刻图案化过程和其中使用的抗蚀剂 | |
EP0773477A1 (en) | Phase shift layer - containing photomask, and its production and correction | |
JP2003316019A (ja) | パターン形成方法および半導体装置の製造方法 | |
US20110294072A1 (en) | Methods of manufacturing semiconductor devices using photolithography | |
US11163236B2 (en) | Method and process for stochastic driven detectivity healing | |
JP2560773B2 (ja) | パターン形成方法 | |
Asano et al. | Enhancement of process latitude by reducing resist thickness for KrF excimer laser lithography | |
Wheeler et al. | Basic Issues Associated With Four Potential EUV Resist Schemes: Trilayer Organometallic Bilayer, or Plasma Deposited-Plasma & Developed Bilayer, and Silylated Resists | |
KR20040079756A (ko) | 반도체소자의 미세패턴 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20160923 Patent event code: PA01051R01D Comment text: International Patent Application |
|
PG1501 | Laying open of application | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20200224 Comment text: Request for Examination of Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20210530 Patent event code: PE09021S01D |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20211129 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20220303 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20220523 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20220523 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration |