JP7058080B2 - Aleおよび選択的蒸着を用いた基板のエッチング - Google Patents
Aleおよび選択的蒸着を用いた基板のエッチング Download PDFInfo
- Publication number
- JP7058080B2 JP7058080B2 JP2017087781A JP2017087781A JP7058080B2 JP 7058080 B2 JP7058080 B2 JP 7058080B2 JP 2017087781 A JP2017087781 A JP 2017087781A JP 2017087781 A JP2017087781 A JP 2017087781A JP 7058080 B2 JP7058080 B2 JP 7058080B2
- Authority
- JP
- Japan
- Prior art keywords
- carbon
- plasma
- substrate
- containing material
- power
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000000758 substrate Substances 0.000 title claims description 155
- 238000005530 etching Methods 0.000 title description 35
- 230000008021 deposition Effects 0.000 title description 29
- 238000000034 method Methods 0.000 claims description 128
- 239000000463 material Substances 0.000 claims description 101
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 99
- 229910052799 carbon Inorganic materials 0.000 claims description 93
- 239000007800 oxidant agent Substances 0.000 claims description 62
- 238000000151 deposition Methods 0.000 claims description 41
- 230000001590 oxidative effect Effects 0.000 claims description 37
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 36
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 34
- 229920002120 photoresistant polymer Polymers 0.000 claims description 33
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 30
- 230000008569 process Effects 0.000 claims description 26
- 229910052734 helium Inorganic materials 0.000 claims description 23
- 239000001307 helium Substances 0.000 claims description 22
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 22
- 229910052786 argon Inorganic materials 0.000 claims description 19
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 18
- 239000011261 inert gas Substances 0.000 claims description 18
- 229910052757 nitrogen Inorganic materials 0.000 claims description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 16
- 239000001301 oxygen Substances 0.000 claims description 15
- 229910052760 oxygen Inorganic materials 0.000 claims description 15
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 14
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 14
- 239000001257 hydrogen Substances 0.000 claims description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims description 13
- 150000002431 hydrogen Chemical class 0.000 claims description 13
- 239000000126 substance Substances 0.000 claims description 13
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 claims description 12
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 11
- 239000002243 precursor Substances 0.000 claims description 11
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 9
- 229910052754 neon Inorganic materials 0.000 claims description 9
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 9
- 239000001569 carbon dioxide Substances 0.000 claims description 8
- 238000004544 sputter deposition Methods 0.000 claims description 8
- 239000003085 diluting agent Substances 0.000 claims description 7
- 229910021389 graphene Inorganic materials 0.000 claims description 6
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims description 5
- 238000009499 grossing Methods 0.000 claims description 3
- 238000002407 reforming Methods 0.000 claims description 3
- 210000002381 plasma Anatomy 0.000 description 108
- 238000012545 processing Methods 0.000 description 76
- 239000010410 layer Substances 0.000 description 51
- 235000012431 wafers Nutrition 0.000 description 48
- 239000007789 gas Substances 0.000 description 40
- 239000004065 semiconductor Substances 0.000 description 20
- 238000010926 purge Methods 0.000 description 19
- 238000002474 experimental method Methods 0.000 description 15
- 238000012546 transfer Methods 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 9
- 238000000059 patterning Methods 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 9
- 238000007740 vapor deposition Methods 0.000 description 9
- 238000009616 inductively coupled plasma Methods 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 8
- 229910003481 amorphous carbon Inorganic materials 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 7
- 239000003607 modifier Substances 0.000 description 6
- 230000009471 action Effects 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 230000004048 modification Effects 0.000 description 5
- 238000012986 modification Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- 238000001179 sorption measurement Methods 0.000 description 5
- 229910052724 xenon Inorganic materials 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 125000004432 carbon atom Chemical group C* 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 239000003701 inert diluent Substances 0.000 description 3
- 229910052743 krypton Inorganic materials 0.000 description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 3
- 239000012495 reaction gas Substances 0.000 description 3
- 238000011160 research Methods 0.000 description 3
- 229910002601 GaN Inorganic materials 0.000 description 2
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- ODUCDPQEXGNKDN-UHFFFAOYSA-N Nitrogen oxide(NO) Natural products O=N ODUCDPQEXGNKDN-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- UBAZGMLMVVQSCD-UHFFFAOYSA-N carbon dioxide;molecular oxygen Chemical compound O=O.O=C=O UBAZGMLMVVQSCD-UHFFFAOYSA-N 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910021385 hard carbon Inorganic materials 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000011017 operating method Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- -1 oxides Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32899—Multiple chambers, e.g. cluster tools
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
- H01L21/31056—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Description
開示されている実施形態は、任意の適切なエッチングチャンバまたは装置(カリフォルニア州フレモントのLam Research社製のKiyo(登録商標)FXなど)で実行されてよい。利用可能なプラズマエッチングチャンバの別の例は、カリフォルニア州フレモントのLamResearch社製のFlex(商標)Flex(商標)反応性イオンエッチングツールである。プラズマエッチングチャンバのさらなる説明が、米国特許第6,841,943号および第8,552,334号に記載されており、それらの全体が参照によって本明細書に組み込まれる。
実験1
炭素含有フォトレジストに対して実験を行った。エッチング処理の前の基板が、図8Aに示されている。
フォトレジストのALEを3サイクルおよび5サイクル実行する実験を行った。ALEを行っていない基板を図9A~図9Cに示す。
理解を深めるために、本実施形態について、ある程度詳しく説明したが開示した実施形態の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。本開示は、以下の形態によって実現されてもよい。
[形態1]
基板を処理する方法であって、
(a)第1炭素含有材料を含む基板を酸化剤に暴露し、第1バイアス電力で第1プラズマを点火して、前記第1炭素含有材料の表面を改質する工程と、
(b)第2バイアス電力で、スパッタリングなしに前記改質された表面を除去するのに十分な期間にわたって、前記改質された層を第2プラズマに暴露する工程と、
を備える、方法。
[形態2]
形態1に記載の方法であって、
(c)前記基板に第2炭素含有材料を選択的に蒸着して、前記第1炭素含有材料の裂け目を埋める工程を、さらに備える、方法。
[形態3]
形態1に記載の方法であって、
前記第2バイアス電力は、約30V~約100Vの間であってよい、方法。
[形態4]
形態1に記載の方法であって、
前記酸化剤は、強酸化剤である、方法。
[形態5]
形態4に記載の方法であって、
前記強酸化剤は、酸素である、方法。
[形態6]
形態4に記載の方法であって、
前記第1プラズマは、約15W~約500Wの間のプラズマ電力を用いて生成される、方法。
[形態7]
形態4に記載の方法であって、
前記第1バイアス電力は、約5V~50Vの間である、方法。
[形態8]
形態1に記載の方法であって、
前記酸化剤は、弱酸化剤である、方法。
[形態9]
形態8に記載の方法であって、
前記弱酸化剤は、二酸化炭素、一酸化炭素、二酸化硫黄、一酸化窒素、窒素、および、アンモニア、からなる群より選択される、方法。
[形態10]
形態8に記載の方法であって、
前記第1プラズマは、約30W~約500Wの間のプラズマ電力を用いて生成される、方法。
[形態11]
形態8に記載の方法であって、
前記第1バイアス電力は、約30V~約100Vの間である、方法。
[形態12]
形態2に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、約5V~約15Vの間の電力の自己バイアスを印加する工程と、約30W~約500Wの間のプラズマ電力を用いてプラズマを点火する工程と、を含む、方法。
[形態13]
形態12に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、さらに、メタンを導入する工程を含む、方法。
[形態14]
形態13に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、さらに、窒素、ヘリウム、アルゴン、水素、および、それらの組み合わせ、からなる群より選択された希釈剤を導入する工程を含む、方法。
[形態15]
形態1から形態14までのいずれか一項に記載の方法であって、
前記第1炭素含有材料は、フォトレジスト、非晶質炭素、および、グラフェン、からなる群より選択される、方法。
[形態16]
形態1から形態14までのいずれか一項に記載の方法であって、
前記第1炭素含有材料は、極紫外線リソグラフィによってパターニングされたフォトレジストである、方法。
[形態17]
形態2に記載の方法であって、
前記工程(c)は、前記基板をメタンに暴露して、前記第1炭素含有材料の前記表面にメタンの層を吸着させる工程と、前記基板を第3プラズマに暴露する工程と、を含む、方法。
[形態18]
形態17に記載の方法であって、
前記第3プラズマは、ヘリウム、水素、窒素、アルゴン、および、ネオン、からなる群より選択された不活性ガスを導入して、プラズマを点火することによって生成される、方法。
[形態19]
形態1から形態14までのいずれか一項に記載の方法であって、
前記第1炭素含有材料を含む前記基板を前記酸化剤に暴露する工程は、さらに、ヘリウム、アルゴン、ネオン、クリプトン、および、キセノン、からなる群より選択された不活性希釈ガスに前記基板を暴露する工程を含む、方法。
[形態20]
形態1から形態14までのいずれか一項に記載の方法であって、
前記工程(b)における前記第2プラズマは、水素、ヘリウム、窒素、アルゴン、および、ネオン、からなる群より選択された不活性ガスを導入して、プラズマを点火することによって生成される、方法。
[形態21]
形態1から形態14までのいずれか一項に記載の方法であって、
前記工程(a)および前記工程(b)の実行の合間に前記基板を収容するチャンバをパージして、余分な酸化剤を前記チャンバから除去する工程を、さらに備える、方法。
[形態22]
形態1から形態14までのいずれか一項に記載の方法であって、
前記工程(a)および前記工程(b)を循環的に繰り返す工程を、さらに備える、方法。
[形態23]
形態2に記載の方法であって、
前記工程(a)~前記工程(c)を循環的に繰り返す工程を、さらに備える、方法。
[形態24]
形態1から形態14までのいずれか一項に記載の方法であって、
前記基板は、約0℃~約120℃の間の温度に設定されたペデスタル上に載置される、方法。
[形態25]
基板を処理するための装置であって、
(a)各々がチャックを備えた1または複数の処理チャンバと、
(b)前記処理チャンバおよび関連する流量制御ハードウェアへの1または複数のガス流入口と、
(c)少なくとも1つのプロセッサおよびメモリを有するコントローラと、
を備え、
前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェアと少なくとも動作可能に接続され、
前記メモリは、
(i)酸化剤を前記処理チャンバに導入して、第1バイアス電力で第1プラズマを点火し、
(ii)第1不活性ガスを導入して、第2バイアス電力で第2プラズマを点火することにより、前記流量制御ハードウェアを少なくとも制御するように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納し、
前記(i)および前記(ii)は、真空を中断することなしに実行される、装置。
[形態26]
形態25に記載の装置であって、
前記メモリは、さらに、(iii)炭素含有前駆体を前記処理チャンバに導入して、前記1または複数の前記処理チャンバ内に収容された基板の表面に前記炭素含有前駆体の吸着層を形成するための命令と、(iv)第2不活性ガスを導入して、第3プラズマを点火するための命令と、を備える、装置。
[形態27]
形態26に記載の装置であって、
前記命令は、さらに、(iii)で前記炭素含有前駆体を導入する時に、約5V~約15Vの間の電力で自己バイアスをオンにするための命令を含む、装置。
[形態28]
形態26に記載の装置であって、
前記命令は、さらに、窒素、ヘリウム、アルゴン、水素、および、それらの組み合わせ、からなる群より選択された希釈剤を導入するための命令を含む、装置。
[形態29]
形態25から形態28までのいずれか一項に記載の装置であって、
前記酸化剤は、酸素である、装置。
[形態30]
形態29に記載の装置であって、
前記第1バイアス電力は、約5V~約50Vの間である、装置。
[形態31]
形態29に記載の装置であって、
前記第1プラズマは、約15W~約500Wの間のプラズマ電力に設定される、装置。
[形態32]
形態25から形態28までのいずれか一項に記載の装置であって、
前記酸化剤は、二酸化炭素、一酸化炭素、二酸化硫黄、一酸化窒素、窒素、および、アンモニアからなる群より選択される、装置。
[形態33]
形態32に記載の装置であって、
前記第1バイアス電力は、約30V~約100Vの間である、装置。
[形態34]
形態32に記載の装置であって、
前記第1プラズマは、約30W~約500Wの間のプラズマ電力に設定される、装置。
Claims (20)
- 基板を処理する方法であって、
(a)第1炭素含有材料を含む基板を酸素含有の酸化剤に暴露し、第1プラズマを点火して、前記第1炭素含有材料の表面に前記酸化剤を吸着させることにより、前記表面を改質する工程と、
(b)バイアス電力で、スパッタリングなしに前記改質された表面を除去するのに十分な期間にわたって、前記改質された表面を、不活性ガスから形成される第2プラズマに暴露する工程と、
を備える、方法。 - 請求項1に記載の方法であって、
(c)炭素含有化学物質に晒すことにより前記基板に第2炭素含有材料を選択的に蒸着して、前記第1炭素含有材料の裂け目を埋め、これにより、前記第1炭素含有材料の表面を滑らかにし、前記炭素含有化学物質は、C x H y (xおよびyは1以上の整数)により表される工程を、さらに備える、方法。 - 請求項2に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、約5V~約15Vの間の電力の自己バイアスを印加する工程と、約30W~約500Wの間のプラズマ電力を用いてプラズマを点火する工程と、を含む、方法。 - 請求項3に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、さらに、メタンを導入する工程を含む、方法。 - 請求項4に記載の方法であって、
前記基板に前記第2炭素含有材料を選択的に蒸着する工程は、さらに、窒素、ヘリウム、アルゴン、水素、および、それらの組み合わせ、からなる群より選択された希釈剤を導入する工程を含む、方法。 - 請求項2に記載の方法であって、
前記工程(c)は、前記基板をメタンに暴露して、前記第1炭素含有材料の前記表面にメタンの層を吸着させる工程と、前記基板を第3プラズマに暴露する工程と、を含む、方法。 - 請求項1に記載の方法であって、
前記バイアス電力は、約30V~約100Vの間である、方法。 - 請求項1に記載の方法であって、
前記酸素含有の酸化剤は、強酸化剤である、方法。 - 請求項8に記載の方法であって、
前記強酸化剤は、酸素である、方法。 - 請求項8に記載の方法であって、
前記第1プラズマは、約15W~約500Wの間のプラズマ電力を用いて生成される、方法。 - 請求項8に記載の方法であって、
前記第1プラズマは、約5V~50Vの間の別のバイアス電力で点火される、方法。 - 請求項1に記載の方法であって、
前記酸素含有の酸化剤は、弱酸化剤である、方法。 - 請求項12に記載の方法であって、
前記弱酸化剤は、二酸化炭素、一酸化炭素、二酸化硫黄、および一酸化窒素からなる群より選択される、方法。 - 請求項12に記載の方法であって、
前記第1プラズマは、約30W~約500Wの間のプラズマ電力を用いて生成される、方法。 - 請求項12に記載の方法であって、
前記第1プラズマは、約30V~約100Vの間の別のバイアス電力で点火される、方法。 - 請求項1に記載の方法であって、
前記第1炭素含有材料は、フォトレジスト、非晶質炭素、および、グラフェン、からなる群より選択される、方法。 - 請求項1に記載の方法であって、
前記第1炭素含有材料は、極紫外線リソグラフィによってパターニングされたフォトレジストである、方法。 - 請求項1に記載の方法であって、
前記工程(b)における前記第2プラズマは、水素、ヘリウム、窒素、アルゴン、および、ネオン、からなる群より選択された不活性ガスを導入して、前記第2プラズマを点火することによって生成される、方法。 - 基板を処理する方法であって、
(a)第1炭素含有材料を含む基板を酸素含有の酸化剤に暴露し、第1プラズマを点火して、前記第1炭素含有材料の表面に前記酸化剤を吸着させることにより、前記表面を改質する工程と、
(b)バイアス電力で、スパッタリングなしに前記改質された表面を除去するのに十分な期間にわたって、前記改質された表面を、不活性ガスから形成される第2プラズマに暴露する工程と、
(c)前記基板に第2炭素含有材料を選択的に蒸着して、化学式CxHyを有する前駆体を用いて前記第1炭素含有材料の裂け目を埋める工程であって、xおよびyは1以上の整数である工程と、
を備える、方法。 - 請求項19に記載の方法であって、前記前駆体はメタンを含む、方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662329916P | 2016-04-29 | 2016-04-29 | |
US62/329,916 | 2016-04-29 | ||
US15/494,245 | 2017-04-21 | ||
US15/494,245 US10269566B2 (en) | 2016-04-29 | 2017-04-21 | Etching substrates using ale and selective deposition |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2017199909A JP2017199909A (ja) | 2017-11-02 |
JP2017199909A5 JP2017199909A5 (ja) | 2020-07-02 |
JP7058080B2 true JP7058080B2 (ja) | 2022-04-21 |
Family
ID=60156907
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017087781A Active JP7058080B2 (ja) | 2016-04-29 | 2017-04-27 | Aleおよび選択的蒸着を用いた基板のエッチング |
Country Status (6)
Country | Link |
---|---|
US (2) | US10269566B2 (ja) |
JP (1) | JP7058080B2 (ja) |
KR (2) | KR102504770B1 (ja) |
CN (2) | CN107464747B (ja) |
SG (1) | SG10201703480QA (ja) |
TW (1) | TWI725177B (ja) |
Families Citing this family (123)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
WO2016060712A1 (en) | 2014-10-17 | 2016-04-21 | Applied Materials, Inc. | Cmp pad construction with composite material properties using additive manufacturing processes |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
JP6888007B2 (ja) | 2016-01-26 | 2021-06-16 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウェハエッジリングの持ち上げに関する解決 |
WO2017131927A1 (en) | 2016-01-26 | 2017-08-03 | Applied Materials, Inc. | Wafer edge ring lifting solution |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
KR20170122910A (ko) * | 2016-04-27 | 2017-11-07 | 성균관대학교산학협력단 | 원자층 식각방법 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10832909B2 (en) * | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10157773B1 (en) * | 2017-11-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having layer with re-entrant profile and method of forming the same |
US10217626B1 (en) * | 2017-12-15 | 2019-02-26 | Mattson Technology, Inc. | Surface treatment of substrates using passivation layers |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US10573527B2 (en) * | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10566194B2 (en) * | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10790123B2 (en) | 2018-05-28 | 2020-09-29 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP7066565B2 (ja) * | 2018-07-27 | 2022-05-13 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
US11120997B2 (en) * | 2018-08-31 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface treatment for etch tuning |
CN112654655A (zh) | 2018-09-04 | 2021-04-13 | 应用材料公司 | 先进抛光垫配方 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
JP6708798B1 (ja) * | 2018-11-14 | 2020-06-10 | 株式会社日立ハイテク | プラズマ処理装置及びそれを用いた被処理試料の処理方法 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
JP7282910B2 (ja) * | 2019-03-14 | 2023-05-29 | ラム リサーチ コーポレーション | 高アスペクト比エッチングのためのプラズマエッチングツール |
WO2020214327A1 (en) | 2019-04-19 | 2020-10-22 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
WO2020223011A1 (en) * | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
JP2022539699A (ja) * | 2019-06-24 | 2022-09-13 | ラム リサーチ コーポレーション | 選択的カーボン堆積 |
US10790157B1 (en) * | 2019-07-16 | 2020-09-29 | University Of Maryland, College Park | Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena |
CN110718440B (zh) * | 2019-10-16 | 2022-06-14 | 北京北方华创微电子装备有限公司 | 原子层刻蚀设备及刻蚀方法 |
JP7394665B2 (ja) * | 2020-03-11 | 2023-12-08 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2021236359A1 (en) * | 2020-05-19 | 2021-11-25 | Tokyo Electron Limited | Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11515163B2 (en) * | 2021-01-06 | 2022-11-29 | Applied Materials, Inc. | Low temperature graphene growth |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
WO2023183199A1 (en) * | 2022-03-22 | 2023-09-28 | Lam Research Corporation | High energy atomic layer etch of a carbon containing layer |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
KR102626118B1 (ko) | 2023-09-12 | 2024-01-17 | 에이피티씨 주식회사 | 셀로우 에칭 프로세스 챔버 |
KR102691602B1 (ko) | 2024-03-22 | 2024-08-05 | 브이엠 주식회사 | 기판 손상 감소 구조의 원자층 식각 장치 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010206051A (ja) | 2009-03-05 | 2010-09-16 | Tokyo Electron Ltd | 基板処理方法 |
JP2014532988A (ja) | 2011-10-27 | 2014-12-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Family Cites Families (106)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH061769B2 (ja) | 1983-08-10 | 1994-01-05 | 株式会社日立製作所 | アルミナ膜のパターニング方法 |
US4756794A (en) * | 1987-08-31 | 1988-07-12 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer etching |
JPH06326060A (ja) | 1993-05-12 | 1994-11-25 | Hitachi Ltd | 固体表面加工方法 |
US6022806A (en) | 1994-03-15 | 2000-02-08 | Kabushiki Kaisha Toshiba | Method of forming a film in recess by vapor phase growth |
JP2002510146A (ja) | 1998-01-13 | 2002-04-02 | アプライド マテリアルズ インコーポレイテッド | 異方性プラチナプロファイルのエッチング方法 |
US6177353B1 (en) | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6458694B2 (en) | 2000-01-24 | 2002-10-01 | Ebara Corporation | High energy sputtering method for forming interconnects |
US6517602B2 (en) | 2000-03-14 | 2003-02-11 | Hitachi Metals, Ltd | Solder ball and method for producing same |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
US6677242B1 (en) * | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US20020058409A1 (en) | 2000-11-16 | 2002-05-16 | Ching-Te Lin | Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch |
US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
US6562700B1 (en) | 2001-05-31 | 2003-05-13 | Lsi Logic Corporation | Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6884730B2 (en) | 2002-07-02 | 2005-04-26 | Headway Technologies, Inc. | Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head |
US6933239B2 (en) | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
US7067407B2 (en) | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US7018469B2 (en) | 2003-09-23 | 2006-03-28 | Micron Technology, Inc. | Atomic layer deposition methods of forming silicon dioxide comprising layers |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
US7341946B2 (en) | 2003-11-10 | 2008-03-11 | Novellus Systems, Inc. | Methods for the electrochemical deposition of copper onto a barrier layer of a work piece |
US7115522B2 (en) | 2004-07-09 | 2006-10-03 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
US7196955B2 (en) | 2005-01-12 | 2007-03-27 | Hewlett-Packard Development Company, L.P. | Hardmasks for providing thermally assisted switching of magnetic memory elements |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
JP4860219B2 (ja) | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
US7214626B2 (en) | 2005-08-24 | 2007-05-08 | United Microelectronics Corp. | Etching process for decreasing mask defect |
US20070095367A1 (en) | 2005-10-28 | 2007-05-03 | Yaxin Wang | Apparatus and method for atomic layer cleaning and polishing |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US20070238301A1 (en) | 2006-03-28 | 2007-10-11 | Cabral Stephen H | Batch processing system and method for performing chemical oxide removal |
US7368393B2 (en) | 2006-04-20 | 2008-05-06 | International Business Machines Corporation | Chemical oxide removal of plasma damaged SiCOH low k dielectrics |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
JP5108489B2 (ja) * | 2007-01-16 | 2012-12-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
KR101330707B1 (ko) | 2007-07-19 | 2013-11-19 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US8481423B2 (en) * | 2007-09-19 | 2013-07-09 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics |
US8247030B2 (en) | 2008-03-07 | 2012-08-21 | Tokyo Electron Limited | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
US7948044B2 (en) | 2008-04-09 | 2011-05-24 | Magic Technologies, Inc. | Low switching current MTJ element for ultra-high STT-RAM and a method for making the same |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US7943527B2 (en) | 2008-05-30 | 2011-05-17 | The Board Of Trustees Of The University Of Illinois | Surface preparation for thin film growth by enhanced nucleation |
JP5128421B2 (ja) * | 2008-09-04 | 2013-01-23 | 東京エレクトロン株式会社 | プラズマ処理方法およびレジストパターンの改質方法 |
JP5085595B2 (ja) | 2008-09-08 | 2012-11-28 | 株式会社東芝 | コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。 |
US7759239B1 (en) | 2009-05-05 | 2010-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of reducing a critical dimension of a semiconductor device |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
JP5813303B2 (ja) | 2009-11-20 | 2015-11-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US8227344B2 (en) | 2010-02-26 | 2012-07-24 | Tokyo Electron Limited | Hybrid in-situ dry cleaning of oxidized surface layers |
US20120100308A1 (en) | 2010-10-25 | 2012-04-26 | Asm America, Inc. | Ternary metal alloys with tunable stoichiometries |
US8546263B2 (en) | 2011-04-27 | 2013-10-01 | Applied Materials, Inc. | Method of patterning of magnetic tunnel junctions |
KR101380835B1 (ko) * | 2011-07-22 | 2014-04-04 | 성균관대학교산학협력단 | 그래핀의 원자층 식각 방법 |
WO2013027549A1 (ja) * | 2011-08-25 | 2013-02-28 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体 |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
JP2014049466A (ja) | 2012-08-29 | 2014-03-17 | Tokyo Electron Ltd | エッチング処理方法及び基板処理装置 |
US9177780B2 (en) | 2012-10-02 | 2015-11-03 | Applied Materials, Inc. | Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition |
JP6035117B2 (ja) * | 2012-11-09 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
JP5918108B2 (ja) | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9437425B2 (en) * | 2013-01-11 | 2016-09-06 | Solan, LLC | Methods for integrating lead and graphene growth and devices formed therefrom |
JP6242095B2 (ja) * | 2013-06-28 | 2017-12-06 | 株式会社日立国際電気 | クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US9362163B2 (en) * | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
WO2015034690A1 (en) | 2013-09-04 | 2015-03-12 | Tokyo Electron Limited | Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly |
US9257431B2 (en) | 2013-09-25 | 2016-02-09 | Micron Technology, Inc. | Memory cell with independently-sized electrode |
US9343308B2 (en) * | 2013-10-28 | 2016-05-17 | Asm Ip Holding B.V. | Method for trimming carbon-containing film at reduced trimming rate |
JP5846335B1 (ja) | 2014-03-26 | 2016-01-20 | 東レ株式会社 | 半導体装置の製造方法及び半導体装置 |
US9257638B2 (en) | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
KR101745686B1 (ko) | 2014-07-10 | 2017-06-12 | 도쿄엘렉트론가부시키가이샤 | 기판의 고정밀 에칭을 위한 방법 |
FR3023971B1 (fr) | 2014-07-18 | 2016-08-05 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
CN105448635B (zh) * | 2014-08-28 | 2018-01-09 | 北京北方华创微电子装备有限公司 | 原子层刻蚀装置及采用其的原子层刻蚀方法 |
US9362131B2 (en) * | 2014-08-29 | 2016-06-07 | Applied Materials, Inc. | Fast atomic layer etch process using an electron beam |
US9240315B1 (en) | 2014-10-10 | 2016-01-19 | Applied Materials, Inc. | CVD oxide surface pre-conditioning by inductively coupled O2 plasma |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
JP2018500767A (ja) | 2014-12-18 | 2018-01-11 | ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate | 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法 |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9892935B2 (en) | 2015-05-28 | 2018-02-13 | International Business Machines Corporation | Limiting electronic package warpage with semiconductor chip lid and lid-ring |
US10056264B2 (en) | 2015-06-05 | 2018-08-21 | Lam Research Corporation | Atomic layer etching of GaN and other III-V materials |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
KR20170050056A (ko) | 2015-10-29 | 2017-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
CN108352316B (zh) | 2015-11-10 | 2023-03-24 | 乔治洛德方法研究和开发液化空气有限公司 | 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法 |
WO2017099718A1 (en) | 2015-12-08 | 2017-06-15 | Intel Corporation | Atomic layer etching of transition metals by halogen surface oxidation |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
WO2017147254A1 (en) | 2016-02-23 | 2017-08-31 | Tokyo Electron Limited | Method and system for atomic layer etching |
US10256108B2 (en) | 2016-03-01 | 2019-04-09 | Lam Research Corporation | Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US20190131130A1 (en) | 2017-10-31 | 2019-05-02 | Lam Research Corporation | Etching metal oxide substrates using ale and selective deposition |
-
2017
- 2017-04-21 US US15/494,245 patent/US10269566B2/en active Active
- 2017-04-25 KR KR1020170052754A patent/KR102504770B1/ko active IP Right Grant
- 2017-04-26 TW TW106113845A patent/TWI725177B/zh active
- 2017-04-27 JP JP2017087781A patent/JP7058080B2/ja active Active
- 2017-04-28 SG SG10201703480QA patent/SG10201703480QA/en unknown
- 2017-04-28 CN CN201710291502.8A patent/CN107464747B/zh active Active
- 2017-04-28 CN CN202210694944.8A patent/CN115241052A/zh active Pending
-
2019
- 2019-03-21 US US16/361,083 patent/US10685836B2/en active Active
-
2023
- 2023-02-23 KR KR1020230024368A patent/KR20230030615A/ko active IP Right Grant
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010206051A (ja) | 2009-03-05 | 2010-09-16 | Tokyo Electron Ltd | 基板処理方法 |
JP2014532988A (ja) | 2011-10-27 | 2014-12-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Also Published As
Publication number | Publication date |
---|---|
SG10201703480QA (en) | 2017-11-29 |
TWI725177B (zh) | 2021-04-21 |
US10685836B2 (en) | 2020-06-16 |
CN107464747B (zh) | 2022-07-12 |
US10269566B2 (en) | 2019-04-23 |
US20190244805A1 (en) | 2019-08-08 |
JP2017199909A (ja) | 2017-11-02 |
US20170316935A1 (en) | 2017-11-02 |
KR20230030615A (ko) | 2023-03-06 |
CN115241052A (zh) | 2022-10-25 |
KR102504770B1 (ko) | 2023-02-27 |
KR20170124087A (ko) | 2017-11-09 |
TW201802935A (zh) | 2018-01-16 |
CN107464747A (zh) | 2017-12-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7058080B2 (ja) | Aleおよび選択的蒸着を用いた基板のエッチング | |
US11257674B2 (en) | Eliminating yield impact of stochastics in lithography | |
JP7320168B2 (ja) | デザイナー原子層エッチング | |
JP6964964B2 (ja) | チェンバ内で基板を処理する方法およびその装置 | |
US20190131130A1 (en) | Etching metal oxide substrates using ale and selective deposition | |
JP2018182322A (ja) | 原子層エッチングのリセットを伴う選択的堆積 | |
KR20170093718A (ko) | 연속적인 플라즈마의 원자층 에칭 | |
JP2016131238A (ja) | 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合 | |
CN115004110A (zh) | 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170927 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200424 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20200424 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200525 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20210527 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210601 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20210826 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20211201 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220329 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220411 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7058080 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |