JP4842251B2 - 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法 - Google Patents
下にあるバリア層への多孔性低誘電率膜の接着を促進する手法 Download PDFInfo
- Publication number
- JP4842251B2 JP4842251B2 JP2007506279A JP2007506279A JP4842251B2 JP 4842251 B2 JP4842251 B2 JP 4842251B2 JP 2007506279 A JP2007506279 A JP 2007506279A JP 2007506279 A JP2007506279 A JP 2007506279A JP 4842251 B2 JP4842251 B2 JP 4842251B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- liner
- dielectric constant
- low dielectric
- barrier layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 95
- 230000004888 barrier function Effects 0.000 title claims description 69
- 239000010410 layer Substances 0.000 claims description 304
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 80
- 238000000151 deposition Methods 0.000 claims description 76
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 75
- 239000000758 substrate Substances 0.000 claims description 74
- 230000008021 deposition Effects 0.000 claims description 70
- 229910052799 carbon Inorganic materials 0.000 claims description 50
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 48
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 37
- 230000001590 oxidative effect Effects 0.000 claims description 37
- 229910052710 silicon Inorganic materials 0.000 claims description 37
- 239000010703 silicon Substances 0.000 claims description 36
- 239000012790 adhesive layer Substances 0.000 claims description 28
- 238000010894 electron beam technology Methods 0.000 claims description 22
- 230000015572 biosynthetic process Effects 0.000 claims description 19
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 14
- 229910052802 copper Inorganic materials 0.000 claims description 14
- 239000010949 copper Substances 0.000 claims description 14
- 230000005855 radiation Effects 0.000 claims description 10
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 8
- 239000002243 precursor Substances 0.000 claims description 5
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 230000001737 promoting effect Effects 0.000 claims description 3
- 238000001465 metallisation Methods 0.000 claims description 2
- 239000007789 gas Substances 0.000 description 70
- 230000008569 process Effects 0.000 description 59
- 239000000463 material Substances 0.000 description 44
- 125000004122 cyclic group Chemical group 0.000 description 41
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 28
- 229910052751 metal Inorganic materials 0.000 description 26
- 239000002184 metal Substances 0.000 description 26
- 229910052760 oxygen Inorganic materials 0.000 description 25
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 23
- 239000001301 oxygen Substances 0.000 description 23
- 150000001875 compounds Chemical class 0.000 description 20
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 19
- 238000005229 chemical vapour deposition Methods 0.000 description 18
- 238000012545 processing Methods 0.000 description 17
- 238000000137 annealing Methods 0.000 description 16
- 125000000962 organic group Chemical group 0.000 description 16
- 238000010586 diagram Methods 0.000 description 15
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 14
- 229910052581 Si3N4 Inorganic materials 0.000 description 14
- 230000009977 dual effect Effects 0.000 description 14
- 230000001965 increasing effect Effects 0.000 description 14
- -1 organosilane nitride Chemical class 0.000 description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 14
- 238000005530 etching Methods 0.000 description 12
- 150000001282 organosilanes Chemical class 0.000 description 12
- 239000002210 silicon-based material Substances 0.000 description 12
- 238000010438 heat treatment Methods 0.000 description 10
- 235000012431 wafers Nutrition 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 9
- 238000003860 storage Methods 0.000 description 9
- 238000009826 distribution Methods 0.000 description 8
- 239000007788 liquid Substances 0.000 description 8
- 125000005375 organosiloxane group Chemical group 0.000 description 8
- 238000000926 separation method Methods 0.000 description 8
- 238000013459 approach Methods 0.000 description 7
- 238000001723 curing Methods 0.000 description 7
- 239000001272 nitrous oxide Substances 0.000 description 7
- 239000012495 reaction gas Substances 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 230000003071 parasitic effect Effects 0.000 description 6
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 238000010521 absorption reaction Methods 0.000 description 5
- 229910001882 dioxygen Inorganic materials 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 238000002156 mixing Methods 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 4
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000001227 electron beam curing Methods 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical class FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 150000003377 silicon compounds Chemical class 0.000 description 4
- 229910052990 silicon hydride Inorganic materials 0.000 description 4
- 230000008093 supporting effect Effects 0.000 description 4
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- KVGZZAHHUNAVKZ-UHFFFAOYSA-N 1,4-Dioxin Chemical compound O1C=COC=C1 KVGZZAHHUNAVKZ-UHFFFAOYSA-N 0.000 description 3
- GXGJIOMUZAGVEH-UHFFFAOYSA-N Chamazulene Chemical group CCC1=CC=C(C)C2=CC=C(C)C2=C1 GXGJIOMUZAGVEH-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 101100107923 Vitis labrusca AMAT gene Proteins 0.000 description 3
- YHQGMYUVUMAZJR-UHFFFAOYSA-N alpha-terpinene Natural products CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 239000006117 anti-reflective coating Substances 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- 150000001721 carbon Chemical class 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 230000001976 improved effect Effects 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000002203 pretreatment Methods 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 150000003376 silicon Chemical class 0.000 description 3
- 238000001228 spectrum Methods 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 description 2
- VPSIALWCFOADDS-UHFFFAOYSA-N 1,2,4,6-oxatrisilinane Chemical compound C1[SiH2]C[SiH2]O[SiH2]1 VPSIALWCFOADDS-UHFFFAOYSA-N 0.000 description 2
- UIAFVUWOHOVVOQ-UHFFFAOYSA-N 1,4-dioxin-2-yloxy(methyl)silane Chemical compound C[SiH2]OC1=COC=CO1 UIAFVUWOHOVVOQ-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- PGTKVMVZBBZCKQ-UHFFFAOYSA-N Fulvene Chemical compound C=C1C=CC=C1 PGTKVMVZBBZCKQ-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- 125000003342 alkenyl group Chemical group 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- DLMRBGAENSRVSV-UHFFFAOYSA-N bis(dimethylsilyloxy)-methylsilane Chemical compound C[SiH](C)O[SiH](C)O[SiH](C)C DLMRBGAENSRVSV-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 229910010293 ceramic material Inorganic materials 0.000 description 2
- IJOOHPMOJXWVHK-UHFFFAOYSA-N chlorotrimethylsilane Chemical compound C[Si](C)(C)Cl IJOOHPMOJXWVHK-UHFFFAOYSA-N 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 150000001924 cycloalkanes Chemical class 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical group CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- XNHFVFHOCMXLAU-UHFFFAOYSA-N dimethylsilyloxysilyloxy(dimethyl)silane Chemical compound C[SiH](C)O[SiH2]O[SiH](C)C XNHFVFHOCMXLAU-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000006260 foam Substances 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- MKYPKTJUCYERJM-UHFFFAOYSA-N furan-2-yloxy(methyl)silane Chemical compound C[SiH2]OC=1OC=CC1 MKYPKTJUCYERJM-UHFFFAOYSA-N 0.000 description 2
- HYBBIBNJHNGZAN-UHFFFAOYSA-N furfural Chemical compound O=CC1=CC=CO1 HYBBIBNJHNGZAN-UHFFFAOYSA-N 0.000 description 2
- 125000002541 furyl group Chemical group 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- URUSHCMUBJICJV-UHFFFAOYSA-N 1-methyl-2-(trifluoromethylsilyl)hydrazine Chemical compound CNN[SiH2]C(F)(F)F URUSHCMUBJICJV-UHFFFAOYSA-N 0.000 description 1
- HAYCAXHUWUMPIE-UHFFFAOYSA-N 1-methyl-2-silylhydrazine Chemical compound CNN[SiH3] HAYCAXHUWUMPIE-UHFFFAOYSA-N 0.000 description 1
- ATKZNGHIAUAPFU-UHFFFAOYSA-N 1-methyl-2-trimethoxysilylhydrazine Chemical compound CNN[Si](OC)(OC)OC ATKZNGHIAUAPFU-UHFFFAOYSA-N 0.000 description 1
- SXYYZYIAINPSAK-UHFFFAOYSA-N 2-(furan-2-yloxy)furan Chemical compound C=1C=COC=1OC1=CC=CO1 SXYYZYIAINPSAK-UHFFFAOYSA-N 0.000 description 1
- OSQJVOSBVBYUMQ-UHFFFAOYSA-N 2-ethenoxyfuran Chemical compound C=COC1=CC=CO1 OSQJVOSBVBYUMQ-UHFFFAOYSA-N 0.000 description 1
- HYYUMUJNNBKZFH-UHFFFAOYSA-N 2-ethenyl-1,4-dioxine Chemical compound C=CC1=COC=CO1 HYYUMUJNNBKZFH-UHFFFAOYSA-N 0.000 description 1
- QQBUHYQVKJQAOB-UHFFFAOYSA-N 2-ethenylfuran Chemical compound C=CC1=CC=CO1 QQBUHYQVKJQAOB-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- JOOMLFKONHCLCJ-UHFFFAOYSA-N N-(trimethylsilyl)diethylamine Chemical compound CCN(CC)[Si](C)(C)C JOOMLFKONHCLCJ-UHFFFAOYSA-N 0.000 description 1
- LZEDNTWBPSYRHI-UHFFFAOYSA-N N-dimethylsilyl-N-methyl-1-phenylmethanamine Chemical compound C1(=CC=CC=C1)CN(C)[SiH](C)C LZEDNTWBPSYRHI-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 125000001595 alpha-terpinene group Chemical group 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- IYYIVELXUANFED-UHFFFAOYSA-N bromo(trimethyl)silane Chemical compound C[Si](C)(C)Br IYYIVELXUANFED-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- CREMABGTGYGIQB-UHFFFAOYSA-N carbon carbon Chemical compound C.C CREMABGTGYGIQB-UHFFFAOYSA-N 0.000 description 1
- 150000001722 carbon compounds Chemical class 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229930007927 cymene Natural products 0.000 description 1
- YEQMNLGBLPBBNI-UHFFFAOYSA-N difurfuryl ether Chemical compound C=1C=COC=1COCC1=CC=CO1 YEQMNLGBLPBBNI-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 125000004185 ester group Chemical group 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 150000002234 fulvenes Chemical class 0.000 description 1
- 150000002430 hydrocarbons Chemical group 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- QHQJTEPBVAWUDQ-UHFFFAOYSA-N methyl-[(5-methylsilyloxy-1,4-dioxin-2-yl)oxy]silane Chemical compound C[SiH2]OC1=COC(O[SiH2]C)=CO1 QHQJTEPBVAWUDQ-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- AHJCYBLQMDWLOC-UHFFFAOYSA-N n-methyl-n-silylmethanamine Chemical compound CN(C)[SiH3] AHJCYBLQMDWLOC-UHFFFAOYSA-N 0.000 description 1
- RHOUWZMGYYQBEY-UHFFFAOYSA-N n-methyl-n-trimethoxysilylmethanamine Chemical compound CO[Si](OC)(OC)N(C)C RHOUWZMGYYQBEY-UHFFFAOYSA-N 0.000 description 1
- 239000007783 nanoporous material Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- HFPZCAJZSCWRBC-UHFFFAOYSA-N p-cymene Chemical compound CC(C)C1=CC=C(C)C=C1 HFPZCAJZSCWRBC-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 230000000638 stimulation Effects 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- 239000005051 trimethylchlorosilane Substances 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 229920002554 vinyl polymer Polymers 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31695—Deposition of porous oxides or porous glassy oxides or oxide based porous glass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1042—Formation and after-treatment of dielectrics the dielectric comprising air gaps
- H01L2221/1047—Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Description
メチルシラン CH3−SiH3
ジメチルシラン (CH3)2−SiH2
ジシラノメタン SiH3−CH2−SiH3
ビス(メチルシラノ)メタン CH3−SiH2−CH2−SiH2−CH3
2,4,6−トリシラオキサン −(−SiH2−CH2−SiH2−CH2−SiH2−O−)−(環状)
シクロ−1,3,5,7−テトラシラノ−2,6−ダイオキシ−4,8−ジメチレン (−SiH2−CH2−SiH2−O−)2−(環状)
1,3,5−トリシラシクロヘキサン −(−SiH2−CH2−)3−(環状)
1,3−ジメチルジシロキサン CH3−SiH2−O−SiH2−CH3
1,1,3,3−テトラメチルジシロキサン (CH3)2−SiH−O−SiH−(CH3)2
1,1,5,5−テトラメチルトリシロキサン (CH3)2−SiH−O−SiH2−O−SiH−(CH3)2
1,1,3,5,5−ペンタメチルトリシロキサン (CH3)2−SiH−O−SiH(CH3)−O−SiH−(CH3)2、
及び1,2−ジシラノテトラフルオロエタンなどのそのフッ化炭素誘導体を含む。有機シランと有機シロキサン内の炭化水素基は部分的に又は完全にフッ化されて、C−H結合をC−F結合に変換する。多くの好ましい有機シランと有機シロキサン化合物は、市販されている。有機シランや有機シロキサンは、誘電率、酸化物含量、疎水性、膜応力、及びプラズマエッチング特性などの望ましい性質の調和を提供するために二種以上を組み合わせて用いられる。
メチルシロキシ、及び (CH3−SiH2−O)
ジメチルシロキシ ((CH3)2−SiH−O−)
を含む。
1,3,5,7−テトラシラノ−2,6−ダイオキシ−4,8−ジメチレン、及び (−SiH2−CH2−SiH2−O−)2−(環状)
2,4,6−トリシラテトラハイドロピラン −SiH2−CH2−SiH2−CH2−SiH2−O−(環状)
[0032]熱的に不安定な有機基は、十分な酸素を含有し、シリコン酸化物層がアニールされる時、ガス状の生成物を形成する。
ダイオキシン、C4H4O2、 −(−CH=CH−O−CH=CH−O−)−、環状
フラン、C4H4O、 −(−CH=CH−CH=CH−O−)−、環状
フルベン、C6H6 −(−CH=CH−CH=CH−C(CH2)−)−、環状
を含む。
メチルシリル−1,4−ダイオキシニルエーテル CH3−SiH2−O−(C4H3O2)
2−メチルシロキサニルフラン −(−CH=CH−CH=C(O−SiH2−CH3)−O−)−、環状
3−メチルシロキサニルフラン −(−CH=CH−C(O−SiH2−CH3)=CH−O−)−、環状
2,5−ビス(メチルシロキシ)−1,4−ダイオキシン −(−CH=C(O−SiH2−CH3)−O−CH=C(O−SiH2−CH3)−O−)−、環状
3,4−ビス(メチルシロキサニル)フラン −(−CH=C(O−SiH2−CH3)−C(O−SiH2−CH3)=CH−O−)−、環状
2,3−ビス(メチルシロキサニル)フラン −(−CH=CH−C(O−SiH2−CH3)=C(O−SiH2−CH3)−O−)−、環状
2,4−ビス(メチルシロキサニル)フラン −(−CH=C(O−SiH2−CH3)−CH=C(O−SiH2−CH3)−O−)−、環状
2,5−ビス(メチルシロキサニル)フラン −(−C(O−SiH2−CH3)=CH−CH=C(O−SiH2−CH3)−O−)−、環状
1−メチルシロキサニルフルベン −(CH=CH−CH=CH−C(CH(O−SiH2−CH3))−)−、環状
2−メチルシロキサニルフルベン −(CH=CH−CH=CH−C(CH2)(O−SiH2−CH3)−)−、環状
6−メチルシロキサニルフルベン −(−C(O−SiH2−CH3)=CH−CH=CH−C=CH−)−、環状
ビス(メチルシロキサニル)フルベン (C6H4)(O−SiH2−CH3)2、環状
ジメチルシリル−1,4−ダイオキシニルエーテル (CH3)2−SiH−O−(C4H3O2)、環状
2−ジメチルシロキサニルフラン −(−CH=CH−CH=C(O−SiH−(CH3)2)−O−)−、環状
3−ジメチルシロキサニルフラン −(−CH=CH−C(O−SiH−(CH3)2)=CH−O−)−、環状
2,5−ビス(ジメチルシロキシ)−1,4−ダイオキシン −(−CH=C(O−SiH−(CH3)2)−O−CH=C(O−SiH−(CH3)2)−O−)−、環状
3,4−ビス(ジメチルシロキサニル)フラン −(−CH=C(O−SiH−(CH3)2)−C(O−SiH−CH3)2)=CH−O−)−環状
2,3−ビス(ジメチルシロキサニル)フラン −(−CH=CH−C(O−SiH−(CH3)2)=C(O−SiH−(CH3)2)−O−)−環状
2,4−ビス(ジメチルシロキサニル)フラン −(−CH=C(O−SiH−(CH3)2)−CH=C(O−SiH−(CH3)2)−O−)−環状
2,5−ビス(ジメチルシロキサニル)フラン −(−C(O−SiH−(CH3)2)=CH−CH=C(O−SiH−(CH3)2)−O−)−環状
1−ジメチルシロキサニルフルベン −(−CH=CH−CH=CH−C(CH(O−SiH−(CH3)2))−)−、環状
2−ジメチルシロキサニルフルベン −(−CH=CH−CH=CH−C(CH2)(O−SiH−(CH3)2)−)−、環状
6−ジメチルシロキサニルフルベン −(−C(O−SiH−(CH3)2)=CH−CH=CH−C=CH−)−、環状
ビス(ジメチルシロキサニル)フルベン −(C6H4)(O−SiH−(CH3)2)2、環状
及びその不和炭素誘導体を含む。好ましくは、上記化合物は、常温において液体であり、10トール近く又はそれ以上の圧力において揮発され得る。このような化合物は、酸化ガスと反応し、約50℃未満の温度において多くの不安定有機基を保有するゲル型シリコン/酸素含有材料を形成する。
ビニール−1,4−ダイオキシニルエーテル CH2=CH2−O−(C4H3O2)、環状
ビニールフリルエーテル CH2=CH2−O−(C4H3O)、環状
ビニール−1,4−ダイオキシン CH2=CH2−(C4H3O2)、環状
ビニールフラン CH2=CH2−O−(C4H3O)、環状
メチルフロエイト CH3C(O)−O−(C4H3O)、環状
フリルフォルメイト (C4H3O)−COOH、環状
フリルアセテート (C4H3O)−CH2COOH、環状
フルアルデヒド CH(O)−(C4H3O)K、環状
ジフリルケトン (C4H3O)2C(O)、環状
ジフリルエーテル (C4H3O)−O−(C4H3O)、環状
ジフルフリルエーテル (C4H3O)C(O)−O−C(O)(C4H3O)、環状
フラン C4H4O、(環状)
1,4−ダイオキシン C4H4O2、(環状)
及びその不和炭素誘導体を含む。
ジメチルシラン (CH3)2−SiH2
ジシラノメタン SiH3−CH2−SiH3
ビス(メチルシラノ)メタン CH3−SiH2−CH2−SiH2−CH3
2,4,6−トリシラオキサン −(−SiH2−CH2−SiH2−CH2−SiH2−O−)−(環状)
1,3,5−トリシラシクロヘキサン −(−SiH2−CH2−)3−(環状)
シクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチルレン −(−SiH2−CH2−SiH2−O−)2−(環状)
1,3−ジメチルジシロキサン CH3−SiH2−O−SiH2−CH3
1,1,3,3−テトラメチルジシロキサン (CH3)2−SiH−O−SiH−(CH3)2
1,1,5,5−テトラメチルトリシロキサン、及び (CH3)2−SiH−O−SiH2−O−SiH−(CH3)2
1,1,3,5,5−ペンタメチルトリシロキサン (CH3)2−SiH−O−SiH(CH3)−O−SiH−(CH3)2
及びその不和炭素誘導体。
[0044]本発明の方法を実施するのに適した一つのCVDプラズマ反応器としては、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できる「DLK」チャンバがあり、高真空領域115を有する平行板化学気相堆積反応器110の縦断面図である図2に示されている。反応器110は、プロセスガスをマニホールド内の穿孔を介して、基板又はリフトモータ114により昇降される基板支持板又はサセプタ112の上にある基板(図示せず)に分散させるためのガス分配マニホールド111を含有する。TEOSの液体噴射用として典型的に使用されるような、液体噴射システム(図示せず)が液体反応物質を噴射するために提供されてもよい。好ましい液体噴射システムは、アプライドマテリアルズ社から入手できる“AMAT Gas Precision Liquid Injection System(GPLIS)”及び“AMAT Extended Precision Liquid Injection System(EPLIS)”を含む。
[0066]本発明のナノ多孔性シリコン酸化物層は図2のPECVD又はマイクロ波チャンバを使用して、図5で示されているような、4層プロセスで堆積されてもよい。図5を参照すると、基板は反応器110に位置決めされ(ステップ300)、反応性シリコン含有化合物を備えるプラズマからPECVDプロセスによってライナ/バリア層が堆積される(ステップ305)。堆積ステップ305は、当分野において既知である方法によってプロセスチャンバ15内に容量結合プラズマ又は誘導及び容量結合プラズマの両方を含んでもよい。プラズマは、He、Ar、及びN2などの不活性ガスを使用することにより生成されてもよい。ヘリウムなどの非活性ガスは、PECVE堆積に広く使用されてプラズマ生成を助ける。
[0085]上述したように、低誘電率誘電体層を形成するプロセスは、熱的に不安定な基を除去して内部にナノ細孔を作り出すように後続してアニールされる材料の堆積を包含する。典型的に、このナノ多孔性低誘電率誘電体層は、炭素含量が10%未満のシリコン酸化物を含み、典型的に30%以上の高い炭素含量を有するシリコン炭化物を含むライナ/バリア層上に堆積される。ナノ多孔性低誘電率誘電層とその下にあるバリア/ライナ材料との間の組成及び構造の実質的な差は、これらの接着を妨害する。これらの層間の接着を向上するために、低誘電率堆積ステップの前に膜や基板を加熱するステップが別途実行されてもよい。
[0106]本発明の一実施形態に従って製造された好ましい二重ダマシン構造500が図7に示され、及びこの構造を作る方法が、本発明のステップが形成される基板の断面図である図8A〜8Hに順次概略的に描かれている。
(シリコン含有の熱的不安定性付与成分を有するシリコン化合物(仮定))
[0121]ナノ多孔性シリコン酸化物系膜は、次のような蒸発されて反応器に流入される反応ガスから1.0トールのチャンバ圧力と30℃の温度で堆積される。
150sccmにおけるメチルシリル−2−フリルエーテル
1000sccmにおける亜酸化窒素(N2O)
[0122]チャンバに入る前に、亜酸化窒素は、2000Wのマイクロ波エネルギーを提供するマイクロ波アプリケータで分離される。基板は、ガス分配シャワーヘッドから600ミルに位置決めされ、反応ガスは、2分間導入される。基板は次いで5分の期間に加熱され、50℃/分ずつ400℃の温度まで基板の温度を上昇させて、ナノ多孔性シリコン酸化物系膜を硬化してアニールする。
[0123]ナノ多孔性シリコン酸化物系膜は、次のような蒸発されて反応器に流入される反応ガスから1.0トールのチャンバ圧力と30℃の温度で堆積される。
100sccmでのシクロ−1,3,5,7−テトラシリレン−2,6−ダイオキシ−4,8ジメチレン
500sccmでのビニル−2−フリルエーテル
1000sccmでの亜酸化窒素(N2O)
[0124]チャンバに入る前に、亜酸化窒素は、2000Wのマイクロ波エネルギーを提供するマイクロ波アプリケータで分離される。基板は、ガス分配シャワーヘッドから600ミルに位置決めされ、反応ガスは、2分間導入される。基板は次いで5分の期間に加熱され、50℃/分ずつ400℃の温度まで基板の温度を上昇させて、ナノ多孔性シリコン酸化物系膜を硬化してアニールする。
(シリコン含有の熱的不安定性付与成分を有するシリコン化合物及び添加されたシリコン含有化合物(仮定))
[0125]ナノ多孔性シリコン酸化物系膜は、次のような蒸発されて反応器に流入される反応ガスから1.0トールのチャンバ圧力と0℃の温度で堆積される。
100sccmでのメチルシリル−2−フリルエーテル
50sccmでのシクロ−1,3,5,7−テトラシリレン−2,6−ダイオキシ−4,8ジメチレン
1000sccmでの亜酸化窒素(N2O)
[0126]チャンバに入る前に、亜酸化窒素は、2000Wのマイクロ波エネルギーを提供するマイクロ波アプリケータで分離される。基板は、ガス分配シャワーヘッドから600ミルに位置決めされ、反応ガスは、2分間導入される。基板は次いで5分の期間に加熱され、50℃/分ずつ400℃の温度まで基板の温度を上昇させて、ナノ多孔性シリコン酸化物系膜を硬化してアニールする。
Claims (15)
- ナノ多孔性低誘電率膜とその下にあるライナ/バリア層との間の接着を促進する方法であって、
シリコン及び炭素を含有するライナ/バリア層を支える基板を提供するステップと、
ライナ/バリア層の上面のSi前駆体を酸化させる濃酸化ガスにライナ/バリア層を曝すことにより、炭素含有量がライナ/バリア層よりも低い炭素含有シリコン酸化物接着層をライナ/バリア層上に形成するステップと、
前記炭素含有シリコン酸化物接着層上に低誘電率膜を堆積するステップと、
堆積された低誘電率膜を硬化させて内部にナノ細孔を形成するステップと、
を備え、
前記炭素含有シリコン酸化物接着層は、前記低誘電率膜よりも、炭素含有量が低く、シリコン酸化物が豊富である方法。 - 前記基板を提供するステップが、シリコン炭化物ライナ/バリア層を支える基板を提供する工程を備える、請求項1に記載の方法。
- 前記炭素含有シリコン酸化物接着層を形成するステップが、前記酸化ガスをシリコン含有ガスに曝す工程をさらに備える、請求項1又は2に記載の方法。
- 前記炭素含有シリコン酸化物接着層を形成するステップの前に、ライナ/バリア層をプラズマに曝す工程をさらに備える、請求項1〜3のいずれか一項に記載の方法。
- 前記プラズマが、前記バリア/ライナ層の堆積に引き続き、連続的に維持される、請求項4に記載の方法。
- 堆積された低誘電率膜が、電子ビーム放射線を印加することにより硬化される、請求項1〜5のいずれか一項に記載の方法。
- 前記電子ビーム放射線の印加が、ライナ/バリア層と低誘電率膜との間の界面に沿って炭素含量を減少させることにより、炭素含有シリコン酸化物接着層の形成に寄与する、請求項6に記載の方法。
- ナノ多孔性低誘電率膜とその下にあるライナ/バリア層との間の接着を促進する方法であって、
シリコン及び炭素を含有するライナ/バリア層を支える基板を提供するステップと、
ライナ/バリア層上に低誘電率膜を堆積するステップと、
前記低誘電率膜に電子ビーム放射線を印加して、内部に微細孔を作り出し、ライナ/バリア層と低誘電率膜との間の界面に沿って炭素含量を減少させることにより、ライナ/バリア層と低誘電率膜との間に炭素含有シリコン酸化物接着層を形成するステップと、
を備え、
前記炭素含有シリコン酸化物接着層は、前記低誘電率膜よりも炭素含有量が低く、シリコン酸化物が豊富であり、前記ライナ/バリア層よりも炭素含有量が低い、
方法。 - 前記基板を提供するステップが、シリコン炭化物ライナ/バリア層を支える基板を提供するステップを備える、請求項8に記載の方法。
- 低誘電率膜の堆積の前にライナ/バリア層を酸化ガスに曝すステップをさらに備える、請求項8又は9に記載の方法。
- 低誘電率膜の堆積の前に、前記酸化ガスをシリコン含有ガスに曝すステップをさらに備える、請求項10に記載の方法。
- 低誘電率膜の堆積の前に、ライナ/バリア層をプラズマに曝すステップをさらに備える、請求項8又は9に記載の方法。
- 前記プラズマが、前記バリア/ライナ層の堆積に引き続き、連続的に維持される、請求項12に記載の方法。
- 集積回路用の相互接続構造であって、
少なくとも30%の炭素含量を有するシリコン炭化物を備えるライナ/バリア層と、
前記ライナ/バリア層の上にあり、炭素含有量が前記ライナ/バリア層よりも低い炭素含有シリコン酸化物接着層と、
前記炭素含有シリコン酸化物接着層の上にあり、10%以下の炭素含量を有する炭素ドープされたシリコン酸化物を備えるナノ多孔性低誘電率層と、
を備え、
前記炭素含有シリコン酸化物接着層は、前記低誘電率膜よりも炭素含有量が低く、シリコン酸化物が豊富である、
相互接続構造。 - 前記ライナ/バリア層の下にある銅メタライズ層をさらに備える、請求項14に記載の相互接続構造。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US55847504P | 2004-03-31 | 2004-03-31 | |
US60/558,475 | 2004-03-31 | ||
PCT/US2005/009969 WO2005098925A1 (en) | 2004-03-31 | 2005-03-24 | Techniques promoting adhesion of porous low k film to underlying barrier layer |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007531319A JP2007531319A (ja) | 2007-11-01 |
JP4842251B2 true JP4842251B2 (ja) | 2011-12-21 |
Family
ID=34964189
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007506279A Expired - Fee Related JP4842251B2 (ja) | 2004-03-31 | 2005-03-24 | 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7547643B2 (ja) |
JP (1) | JP4842251B2 (ja) |
KR (1) | KR101141459B1 (ja) |
CN (1) | CN1938833B (ja) |
TW (1) | TWI275146B (ja) |
WO (1) | WO2005098925A1 (ja) |
Families Citing this family (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4368498B2 (ja) * | 2000-05-16 | 2009-11-18 | Necエレクトロニクス株式会社 | 半導体装置、半導体ウェーハおよびこれらの製造方法 |
US7060330B2 (en) * | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
US20060220251A1 (en) * | 2005-03-31 | 2006-10-05 | Grant Kloster | Reducing internal film stress in dielectric film |
KR100724629B1 (ko) * | 2005-12-12 | 2007-06-04 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7892972B2 (en) * | 2006-02-03 | 2011-02-22 | Micron Technology, Inc. | Methods for fabricating and filling conductive vias and conductive vias so formed |
US9087877B2 (en) * | 2006-10-24 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-k interconnect structures with reduced RC delay |
WO2008091900A1 (en) * | 2007-01-26 | 2008-07-31 | Applied Materials, Inc. | Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild |
WO2008094792A1 (en) * | 2007-01-29 | 2008-08-07 | Applied Materials, Inc. | Novel air gap integration scheme |
JP4978847B2 (ja) * | 2007-06-01 | 2012-07-18 | Nltテクノロジー株式会社 | シリコン酸化膜及びその製造方法並びにそれを用いたゲート絶縁膜を有する半導体装置 |
US7989033B2 (en) * | 2007-07-12 | 2011-08-02 | Applied Materials, Inc. | Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition |
US7879683B2 (en) * | 2007-10-09 | 2011-02-01 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay |
US20090093100A1 (en) * | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
EP2277194A1 (en) * | 2008-05-08 | 2011-01-26 | Basf Se | Layered structures comprising silicon carbide layers, a process for their manufacture and their use |
US20100015816A1 (en) * | 2008-07-15 | 2010-01-21 | Kelvin Chan | Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors |
WO2010009234A1 (en) | 2008-07-16 | 2010-01-21 | Wisconsin Alumni Research Foundation | Metal substrates including metal oxide nanoporous thin films and methods of making the same |
JP2011077442A (ja) * | 2009-10-01 | 2011-04-14 | Tokyo Electron Ltd | プラズマ処理方法およびプラズマ処理装置 |
US8563095B2 (en) * | 2010-03-15 | 2013-10-22 | Applied Materials, Inc. | Silicon nitride passivation layer for covering high aspect ratio features |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9028924B2 (en) * | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
JP5654794B2 (ja) * | 2010-07-15 | 2015-01-14 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN102446817B (zh) * | 2010-10-14 | 2013-11-06 | 中芯国际集成电路制造(上海)有限公司 | 互连结构的制作方法 |
GB201105953D0 (en) * | 2011-04-07 | 2011-05-18 | Metryx Ltd | Measurement apparatus and method |
KR102025441B1 (ko) | 2012-04-06 | 2019-09-25 | 노벨러스 시스템즈, 인코포레이티드 | 증착 후 소프트 어닐링 |
US9117668B2 (en) | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
US9388491B2 (en) | 2012-07-23 | 2016-07-12 | Novellus Systems, Inc. | Method for deposition of conformal films with catalysis assisted low temperature CVD |
CN103871961B (zh) * | 2012-12-17 | 2017-08-25 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其制造方法 |
KR102136769B1 (ko) * | 2013-03-14 | 2020-07-22 | 어플라이드 머티어리얼스, 인코포레이티드 | Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층 |
US8895415B1 (en) | 2013-05-31 | 2014-11-25 | Novellus Systems, Inc. | Tensile stressed doped amorphous silicon |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
KR101454550B1 (ko) * | 2013-06-28 | 2014-10-27 | 엘지전자 주식회사 | 리니어 압축기 |
CN105448705B (zh) * | 2014-06-18 | 2018-05-04 | 无锡华润上华科技有限公司 | 一种消除晶圆氧化膜上微粒的方法及其氧化膜 |
CN105448655B (zh) * | 2014-09-02 | 2019-01-08 | 中芯国际集成电路制造(上海)有限公司 | 多孔低介电薄膜、其制作方法及包括其的层间介质层 |
GB201522552D0 (en) * | 2015-12-21 | 2016-02-03 | Spts Technologies Ltd | Method of improving adhesion |
CN107492517B (zh) * | 2016-06-12 | 2020-05-08 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及形成方法 |
US20190157213A1 (en) * | 2017-11-20 | 2019-05-23 | Globalfoundries Inc. | Semiconductor structure with substantially straight contact profile |
FI129628B (en) * | 2019-09-25 | 2022-05-31 | Beneq Oy | Method and apparatus for processing a substrate surface |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10107026A (ja) * | 1996-09-13 | 1998-04-24 | Samsung Electron Co Ltd | Sog層キュアリング方法及びこれを用いた半導体装置の絶縁膜製造方法 |
JP2002359239A (ja) * | 2000-10-20 | 2002-12-13 | Toshiba Corp | 半導体装置の製造方法 |
WO2003052162A1 (en) * | 2001-12-14 | 2003-06-26 | Applied Materials, Inc. | A method of depositing dielectric materials in damascene applications |
JP2004507103A (ja) * | 2000-08-18 | 2004-03-04 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | ライナー酸化物にmsq材料を結合する方法及び構造 |
JP2004095865A (ja) * | 2002-08-30 | 2004-03-25 | Nec Electronics Corp | 半導体装置およびその製造方法 |
JP2005217142A (ja) * | 2004-01-29 | 2005-08-11 | Semiconductor Leading Edge Technologies Inc | 半導体装置の製造方法 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5003178A (en) | 1988-11-14 | 1991-03-26 | Electron Vision Corporation | Large-area uniform electron source |
EP0370729A1 (en) | 1988-11-25 | 1990-05-30 | Mitsui Petrochemical Industries, Ltd. | Process for producing isopropylnaphthols |
US5468595A (en) | 1993-01-29 | 1995-11-21 | Electron Vision Corporation | Method for three-dimensional control of solubility properties of resist layers |
MY113904A (en) | 1995-05-08 | 2002-06-29 | Electron Vision Corp | Method for curing spin-on-glass film utilizing electron beam radiation |
US6001728A (en) * | 1996-03-15 | 1999-12-14 | Applied Materials, Inc. | Method and apparatus for improving film stability of halogen-doped silicon oxide films |
US6351039B1 (en) | 1997-05-28 | 2002-02-26 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US5972111A (en) | 1997-06-19 | 1999-10-26 | Anderson; Dean Robert Gary | Metering device for paint for digital printing |
US6051881A (en) * | 1997-12-05 | 2000-04-18 | Advanced Micro Devices | Forming local interconnects in integrated circuits |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6171945B1 (en) | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6218090B1 (en) | 1999-03-17 | 2001-04-17 | Electron Vision Corporation | Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off |
US6207555B1 (en) | 1999-03-17 | 2001-03-27 | Electron Vision Corporation | Electron beam process during dual damascene processing |
US6255035B1 (en) | 1999-03-17 | 2001-07-03 | Electron Vision Corporation | Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices |
US6150070A (en) | 1999-03-17 | 2000-11-21 | Alliedsignal Inc. | Method of creating optimal profile in single layer photoresist |
US6195246B1 (en) | 1999-03-30 | 2001-02-27 | Electron Vision Corporation | Electrostatic chuck having replaceable dielectric cover |
JP2003529202A (ja) * | 1999-04-14 | 2003-09-30 | アライドシグナル インコーポレイテッド | 重合体分解から得られる低誘電性ナノ多孔性材料 |
US6204201B1 (en) | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6319655B1 (en) | 1999-06-11 | 2001-11-20 | Electron Vision Corporation | Modification of 193 nm sensitive photoresist materials by electron beam exposure |
US6340556B1 (en) | 1999-08-04 | 2002-01-22 | Electron Vision Corporation | Tailoring of linewidth through electron beam post exposure |
US6407399B1 (en) | 1999-09-30 | 2002-06-18 | Electron Vision Corporation | Uniformity correction for large area electron source |
US6271146B1 (en) | 1999-09-30 | 2001-08-07 | Electron Vision Corporation | Electron beam treatment of fluorinated silicate glass |
US6426127B1 (en) | 1999-12-28 | 2002-07-30 | Electron Vision Corporation | Electron beam modification of perhydrosilazane spin-on glass |
US6358670B1 (en) | 1999-12-28 | 2002-03-19 | Electron Vision Corporation | Enhancement of photoresist plasma etch resistance via electron beam surface cure |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6583047B2 (en) | 2000-12-26 | 2003-06-24 | Honeywell International, Inc. | Method for eliminating reaction between photoresist and OSG |
US20030033227A1 (en) * | 2001-08-10 | 2003-02-13 | Heiser Kenneth Gabriel | Multi-level software for generating wills and trusts online |
US20040101632A1 (en) | 2002-11-22 | 2004-05-27 | Applied Materials, Inc. | Method for curing low dielectric constant film by electron beam |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
-
2005
- 2005-01-28 US US11/046,090 patent/US7547643B2/en active Active
- 2005-03-24 JP JP2007506279A patent/JP4842251B2/ja not_active Expired - Fee Related
- 2005-03-24 WO PCT/US2005/009969 patent/WO2005098925A1/en active Application Filing
- 2005-03-24 CN CN2005800100282A patent/CN1938833B/zh not_active Expired - Fee Related
- 2005-03-28 TW TW094109666A patent/TWI275146B/zh not_active IP Right Cessation
-
2006
- 2006-10-25 KR KR1020067022213A patent/KR101141459B1/ko active IP Right Grant
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10107026A (ja) * | 1996-09-13 | 1998-04-24 | Samsung Electron Co Ltd | Sog層キュアリング方法及びこれを用いた半導体装置の絶縁膜製造方法 |
JP2004507103A (ja) * | 2000-08-18 | 2004-03-04 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | ライナー酸化物にmsq材料を結合する方法及び構造 |
JP2002359239A (ja) * | 2000-10-20 | 2002-12-13 | Toshiba Corp | 半導体装置の製造方法 |
WO2003052162A1 (en) * | 2001-12-14 | 2003-06-26 | Applied Materials, Inc. | A method of depositing dielectric materials in damascene applications |
JP2004095865A (ja) * | 2002-08-30 | 2004-03-25 | Nec Electronics Corp | 半導体装置およびその製造方法 |
JP2005217142A (ja) * | 2004-01-29 | 2005-08-11 | Semiconductor Leading Edge Technologies Inc | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CN1938833B (zh) | 2010-12-22 |
JP2007531319A (ja) | 2007-11-01 |
WO2005098925A1 (en) | 2005-10-20 |
US20050233591A1 (en) | 2005-10-20 |
KR20070028361A (ko) | 2007-03-12 |
TW200614374A (en) | 2006-05-01 |
KR101141459B1 (ko) | 2013-05-23 |
US7547643B2 (en) | 2009-06-16 |
CN1938833A (zh) | 2007-03-28 |
TWI275146B (en) | 2007-03-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4842251B2 (ja) | 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法 | |
US7422776B2 (en) | Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD) | |
JP4723565B2 (ja) | 低誘電率ナノ細孔性膜の多段階硬化 | |
JP4918190B2 (ja) | 非常に低い誘電率プラズマ強化cvd膜 | |
US20080107573A1 (en) | Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity | |
US7501354B2 (en) | Formation of low K material utilizing process having readily cleaned by-products |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080228 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100902 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100907 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20101207 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20101214 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101227 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20110315 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110701 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20110711 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20110927 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20111005 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4842251 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20141014 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |