EP4158078A1 - Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanes - Google Patents
Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanesInfo
- Publication number
- EP4158078A1 EP4158078A1 EP21733325.1A EP21733325A EP4158078A1 EP 4158078 A1 EP4158078 A1 EP 4158078A1 EP 21733325 A EP21733325 A EP 21733325A EP 4158078 A1 EP4158078 A1 EP 4158078A1
- Authority
- EP
- European Patent Office
- Prior art keywords
- substrate
- perhydridocyclotrisilazane
- alkyl substituted
- reaction zone
- monolayer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000010409 thin film Substances 0.000 title claims abstract description 60
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 41
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 30
- 239000010703 silicon Substances 0.000 title claims abstract description 30
- 239000000758 substrate Substances 0.000 claims abstract description 213
- 238000006243 chemical reaction Methods 0.000 claims abstract description 116
- 238000000034 method Methods 0.000 claims abstract description 108
- 230000008569 process Effects 0.000 claims abstract description 63
- 230000008021 deposition Effects 0.000 claims abstract description 61
- 239000002243 precursor Substances 0.000 claims abstract description 61
- 239000000376 reactant Substances 0.000 claims abstract description 41
- 239000002356 single layer Substances 0.000 claims abstract description 37
- 238000001179 sorption measurement Methods 0.000 claims abstract description 30
- 238000000354 decomposition reaction Methods 0.000 claims abstract description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 24
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 21
- 238000010494 dissociation reaction Methods 0.000 claims abstract description 18
- 239000002099 adlayer Substances 0.000 claims abstract description 17
- 230000005593 dissociations Effects 0.000 claims abstract description 17
- 239000012808 vapor phase Substances 0.000 claims abstract description 17
- 239000002052 molecular layer Substances 0.000 claims abstract description 16
- 238000010438 heat treatment Methods 0.000 claims abstract description 15
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 10
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 10
- 238000010926 purge Methods 0.000 claims description 39
- 239000006227 byproduct Substances 0.000 claims description 29
- 239000011261 inert gas Substances 0.000 claims description 23
- 229910052760 oxygen Inorganic materials 0.000 claims description 18
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 14
- 239000012159 carrier gas Substances 0.000 claims description 14
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 14
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 claims description 12
- 229910001868 water Inorganic materials 0.000 claims description 12
- 239000000203 mixture Substances 0.000 claims description 11
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 11
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 10
- 230000009467 reduction Effects 0.000 claims description 10
- 238000012546 transfer Methods 0.000 claims description 6
- 230000003647 oxidation Effects 0.000 claims description 5
- 238000007254 oxidation reaction Methods 0.000 claims description 5
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical group [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 239000010408 film Substances 0.000 abstract description 100
- 238000005019 vapor deposition process Methods 0.000 abstract description 2
- 238000000151 deposition Methods 0.000 description 56
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 33
- 229910004205 SiNX Inorganic materials 0.000 description 33
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 30
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 28
- 238000011065 in-situ storage Methods 0.000 description 21
- 238000004458 analytical method Methods 0.000 description 20
- 238000000231 atomic layer deposition Methods 0.000 description 18
- 238000000572 ellipsometry Methods 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 16
- 229910052799 carbon Inorganic materials 0.000 description 14
- 239000011787 zinc oxide Substances 0.000 description 14
- 229910052757 nitrogen Inorganic materials 0.000 description 13
- 239000000126 substance Substances 0.000 description 13
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 12
- 238000005259 measurement Methods 0.000 description 12
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 12
- 229910007991 Si-N Inorganic materials 0.000 description 11
- 229910006294 Si—N Inorganic materials 0.000 description 11
- 229910018540 Si C Inorganic materials 0.000 description 10
- 229910010271 silicon carbide Inorganic materials 0.000 description 10
- 230000007423 decrease Effects 0.000 description 9
- HQWPLXHWEZZGKY-UHFFFAOYSA-N diethylzinc Chemical compound CC[Zn]CC HQWPLXHWEZZGKY-UHFFFAOYSA-N 0.000 description 9
- 230000035515 penetration Effects 0.000 description 9
- 239000013626 chemical specie Substances 0.000 description 8
- 230000036961 partial effect Effects 0.000 description 8
- 239000010410 layer Substances 0.000 description 7
- 239000003446 ligand Substances 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 230000006911 nucleation Effects 0.000 description 7
- 238000010899 nucleation Methods 0.000 description 7
- 238000005457 optimization Methods 0.000 description 7
- 239000011701 zinc Substances 0.000 description 7
- 238000011534 incubation Methods 0.000 description 6
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 6
- 239000012071 phase Substances 0.000 description 6
- 239000000243 solution Substances 0.000 description 6
- 229910052725 zinc Inorganic materials 0.000 description 6
- AHTBSTSPMQUMPZ-UHFFFAOYSA-N 1,3,5-tri(propan-2-yl)-1,3,5,2,4,6-triazatrisilinane Chemical compound C(C)(C)N1[SiH2]N([SiH2]N([SiH2]1)C(C)C)C(C)C AHTBSTSPMQUMPZ-UHFFFAOYSA-N 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 229910021529 ammonia Inorganic materials 0.000 description 5
- 239000011159 matrix material Substances 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 238000002203 pretreatment Methods 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- 230000008030 elimination Effects 0.000 description 4
- 238000003379 elimination reaction Methods 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 238000010348 incorporation Methods 0.000 description 4
- 241000894007 species Species 0.000 description 4
- 238000001228 spectrum Methods 0.000 description 4
- 229910014299 N-Si Inorganic materials 0.000 description 3
- 238000000026 X-ray photoelectron spectrum Methods 0.000 description 3
- 125000000217 alkyl group Chemical group 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 229910021419 crystalline silicon Inorganic materials 0.000 description 3
- 239000008367 deionised water Substances 0.000 description 3
- 229910021641 deionized water Inorganic materials 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000011066 ex-situ storage Methods 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 3
- 229920003023 plastic Polymers 0.000 description 3
- 239000004033 plastic Substances 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 3
- 239000012086 standard solution Substances 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- AQRLNPVMDITEJU-UHFFFAOYSA-N triethylsilane Chemical compound CC[SiH](CC)CC AQRLNPVMDITEJU-UHFFFAOYSA-N 0.000 description 3
- BZLVMXJERCGZMT-UHFFFAOYSA-N Methyl tert-butyl ether Chemical compound COC(C)(C)C BZLVMXJERCGZMT-UHFFFAOYSA-N 0.000 description 2
- 229910018557 Si O Inorganic materials 0.000 description 2
- 229910008045 Si-Si Inorganic materials 0.000 description 2
- 229910004012 SiCx Inorganic materials 0.000 description 2
- 229910006411 Si—Si Inorganic materials 0.000 description 2
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000002860 competitive effect Effects 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000004821 distillation Methods 0.000 description 2
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 2
- 239000000706 filtrate Substances 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 238000004817 gas chromatography Methods 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 2
- 239000012299 nitrogen atmosphere Substances 0.000 description 2
- 125000004433 nitrogen atom Chemical group N* 0.000 description 2
- 230000005693 optoelectronics Effects 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000011165 process development Methods 0.000 description 2
- 125000001436 propyl group Chemical class [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 2
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 2
- 239000011253 protective coating Substances 0.000 description 2
- 239000002096 quantum dot Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000012216 screening Methods 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- 238000004381 surface treatment Methods 0.000 description 2
- 238000003786 synthesis reaction Methods 0.000 description 2
- 230000009897 systematic effect Effects 0.000 description 2
- CNJLMVZFWLNOEP-UHFFFAOYSA-N 4,7,7-trimethylbicyclo[4.1.0]heptan-5-one Chemical compound O=C1C(C)CCC2C(C)(C)C12 CNJLMVZFWLNOEP-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 241000282320 Panthera leo Species 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000012300 argon atmosphere Substances 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 239000012620 biological material Substances 0.000 description 1
- 229960004424 carbon dioxide Drugs 0.000 description 1
- 235000011089 carbon dioxide Nutrition 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 210000001520 comb Anatomy 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 208000018459 dissociative disease Diseases 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 238000004508 fractional distillation Methods 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000010249 in-situ analysis Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001182 laser chemical vapour deposition Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002159 nanocrystal Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 238000005424 photoluminescence Methods 0.000 description 1
- 238000004375 physisorption Methods 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 239000011541 reaction mixture Substances 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 238000000663 remote plasma-enhanced chemical vapour deposition Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000007725 thermal activation Methods 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 235000012431 wafers Nutrition 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic Table
- C07F7/02—Silicon compounds
- C07F7/21—Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/36—Carbonitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
- H01L21/02222—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Definitions
- Si-based thin films such as (SiNx) and silicon oxide (S1O2)
- SiNx silicon oxide
- S1O2 silicon oxide
- the latter include, for instance, host matrices for Si nanocrystals (Si-NCs) and quantum dots (QDs) for photoluminescence applications, waveguides in nonlinear frequency combs for sensors and photonic devices for telecommunications, tunable luminescent films for Si-based light emitting diodes (LEDs) in Si based monolithic optoelectronic integration, passivation/encapsulation nanostructures at the interface with gallium arsenide (GaAs) for compound semiconductor devices, and base platform for integration with biological materials for biochemistry and medical applications.
- Si-NCs Si nanocrystals
- QDs quantum dots
- PE-CVD direct and remote plasma-enhanced chemical vapor deposition
- MPECVD mirror-plasma enhanced chemical vapor deposition
- RF multiple-holes hollow-cathode radio-frequency PECVD
- direct and remote plasma-enhanced atomic layer deposition such as direct and remote plasma-enhanced chemical vapor deposition (PE- CVD), laser-assisted CVD, mirror-plasma enhanced chemical vapor deposition (MPECVD), multiple-holes hollow-cathode radio-frequency (RF) PECVD, and direct, remote, and glow- discharge plasma-enhanced atomic layer deposition.
- the resulting films consist quite frequently of varying concentrations of silicon, oxygen, and nitrogen, with the inclusion of significant levels of contaminants, and/or the presence of Si to N and Si to O compositional gradients between the interfacial, bulk, and surface regions of the films.
- the present disclosure relates to a method for deposition of a silicon nitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N- alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a
- a method for deposition of a silicon oxide thin film onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of an oxygen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon
- the disclosure provides a method for deposition of a silicon carbonitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of room temperature to about 200°C; maintaining the substrate at room temperature to about 2000°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N- alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular
- the disclosure provides method for deposition of a silicon thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a hydrogen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer
- Fig. 1 is a graph of TICZ experimental vapor pressure data and Antoine Equation’s fit over the range of 0.14 to 760 torn
- Fig. 2 is an optimized SiNx process window schematic for various substrate temperatures ranging from 50 to 350°C.
- Fig. 3 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperature of 200°C for TICZ exposure times of 0.4 sec, 1.0 sec, 2.0 secs, 3.0 secs, and 5.0 secs.
- Fig. 4 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 150, 175, 200, 225, 300, and 350°C.
- Fig. 5 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for the first minute of SiNx processing.
- Fig. 6 is an XPS profile of Zn, Si, N, C, and O concentrations versus penetration depth in SiNx films deposited at 200°C.
- Fig. 7 is an XPS profile of Zn, Si, N, C, and O concentrations versus penetration depth in SiNx films deposited at 300°C.
- Fig. 8 depicts high-resolution XPS spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiNx films deposited at 200°C.
- Fig. 9 depicts high-resolution XPS spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiNx films deposited at 300°C.
- Fig. 10 is an XPS depth profile of Zn, Si, N, C, and O concentrations for SiCxNy films deposited at 50°C.
- Fig. 11 is an XPS depth profile of Zn, Si, N, C, and O concentrations for SiCxNy films deposited at 150°C.
- Fig. 12 depicts high-resolution XPS core level spectra for Si 2p, N Is, C Is, and O Is binding energies versus penetration depth in SiCxNy films deposited at 50°C.
- Fig. 13 depicts high-resolution XPS core level spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiCxNy films deposited at 150°C.
- Fig. 14 is a graph of in-situ, real-time, angle-resolved ellipsometry measurements of SiCxNy film thickness versus deposition time for a substrate temperature of 150°C and TICZ pulse times of 0.1 second, 0.2 second, 0.4 second, and 0.8 second.
- Fig. 15 is a graph of in-situ, real-time, angle-resolved ellipsometry measurements of SiCxNy film thickness versus deposition time for substrate temperatures of 30, 60, 90, 120, 150, and 170°C.
- aspects of the disclosure relate to low to moderate temperature vapor deposition processes for the deposition of Si-based thin films onto substrates in the reaction zone of a deposition chamber.
- the processes include, in a single cycle, heating a substrate to a desired temperature and maintaining the substrate at this temperature, providing a precursor comprising an N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to a reaction zone containing the substrate, forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption on the substrate surface, optionally removing unreacted perhydridocyclotrisilazane and byproducts thereof from the reaction zone using an inert gas through a purge step and/or the vacuum, and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of another chemical species or co-reactant, where
- the single cycle is then repeated as many times as desired to form a Si- based thin film of a desired or predetermined thickness.
- the appropriate substrate temperature and the components of the soft plasma are determined by the desired chemical composition of the silicon-based thin film, such as silicon nitride, silicon carbonitride, silicon oxide, or silicon.
- thin film is well understood in the art, and may include films ranging in thickness from a few nanometers to a few microns. As explained above, such film thickness is controlled by the number of cycles which are performed.
- the conversion of the adsorbed monolayer to a discrete atomic or molecular layer may be aided or enabled by energy transfer provided from an energy source, such as a heated substrate.
- an energy source such as a heated substrate.
- surface-induced processes such as energy transfer (thermal exposure) from the substrate, remote or direct plasma application, oxidation, and/or reduction may be used to initiate or facilitate conversion of the adsorbed monolayer to the final deposited film.
- soft plasma refers to plasma-induced processes which impart minimum energy onto a subject film or substrate so as to result in very little or no mechanical, chemical, physical, or electrical damage to the film and substrate.
- soft plasma refers to plasma- induced processes which impart energy onto the subject molecule which is lower than the threshold for breakage, fragmentation, or decomposition of the subject molecule.
- a soft plasma is designed to impart sufficient activation energy to the precursor to enable its controlled decomposition in combination with an additional source of thermal energy, preferably on a substrate surface.
- the processes described herein employ as Si source precursors the class of N-alkyl substituted perhydridocyclotrisilazanes. Unlike perhydridocyclic silazanes with methyl groups on the N atoms, this class of silazanes contains alkyl radicals with at least two carbon atoms on each N atom, providing a mechanism for low to moderate temperature SiNx deposition by elimination of the alkyl substitutions. In contrast, the simple methyl groups in the methyl-substituted perhydridocyclic silazanes require higher temperatures for film formation and incorporate significantly higher carbon concentration into the resulting films. This is presumably due to the lack of a low-energy elimination mechanism for these methyl groups.
- the film-forming processes according to the disclosure are plasma-activated, not plasma-enhanced or plasma-assisted.
- a plasma activated process the precursor exposure step is carried out thermally without the involvement of a plasma, while the co-reactant (such as, for example, NTk, N2, N2+H2, hydrazine, oxygen, ozone, water, or H2) is introduced in a direct or remote soft plasma.
- the co-reactant such as, for example, NTk, N2, N2+H2, hydrazine, oxygen, ozone, water, or H2
- the precursor adsorption step is activated by thermal and chemical energy from the substrate and not from a plasma, which enables the precursor (or a partial precursor species due to the possible elimination of some of the precursor ligands or attached radicals and groups upon engagement with the substrate) to adsorb physically or chemically in a conformal fashion to the various substrate surface topographies, including, for example, high aspect ratio via and trench structures.
- the subsequent remote or direct co-reactant plasma will lead to the formation of the desired film conformally with equal thickness across the entire substrate surface, including in highly aggressive device geometries.
- the processes according to the disclosure are performed at a substrate temperature that leads to partial or complete decomposition of the pulsed precursor upon engagement with the substrate during every exposure cycle, as opposed to being limited to a mere physisorption or chemisorption reaction of the complete precursor.
- a co-reactant is subsequently introduced to complete the decomposition reaction and/or remove the reaction byproducts to ensure a clean film.
- the process requires lower thermal, chemical, or plasma-energy to grow the target film since the precursor has already partially decomposed upon reaching the substrate surface.
- the decomposition of the precursor in the methods according to the disclosure is induced by three processes working in tandem: precursor adsorption to the substrate with partial removal of some ligands, thermal energy from the substrate, and soft plasma activation.
- Appropriate substrates include, without limitation, those formed of a material selected from the group consisting of silicon, silicon oxide, copper, platinum, titanium, titanium nitride, tantalum and tantalum nitride.
- N-alkyl substituted perhydridocyclotrisilazanes with a nitrogen source such as, without limitation, a direct or remote NH3, N2, N2+H2, hydrazine, or methylamine soft plasma, to form silicon nitride (SiNx); an oxygen source, such as, without limitation, a direct or remote ozone, water, or O2 soft plasma, to form silicon oxide (S1O2); and a reactive hydrogen source, such as a direct or remote H2 soft plasma, to form pure Si.
- a nitrogen source such as, without limitation, a direct or remote NH3, N2, N2+H2, hydrazine, or methylamine soft plasma
- SiNx silicon nitride
- an oxygen source such as, without limitation, a direct or remote ozone, water, or O2 soft plasma, to form silicon oxide (S1O2)
- a reactive hydrogen source such as a direct or remote H2 soft plasma
- the process may also be applied to the formation of SiCxNy compound thin films through the decomposition of N-alkyl substituted perhydridocyclotrisilazanes via exposure to a direct or remote soft plasma of a nitrogen- or carbon-containing chemical species or co-reactants.
- the ratio of C to N (C/N) in the films is modulated by controlling the substrate temperature and duration of the co-reactant pulse.
- control of the substrate temperature in the reaction zone of the deposition chamber affects the chemical composition of the resulting silicon-containing thin film.
- substrate temperatures such as about 200°C to about 650°C, preferably about 200 °C to about 350 °C
- substrate temperatures such as about 200°C to about 650°C, preferably about 200 °C to about 350 °C
- low substrate temperatures room temperature to about 200°C, preferably about 30°C to about 200°C
- SiCxNy films consisting of a matrix of simple Si-C and Si-N bonds, with no C-N bonds.
- room temperature may be understood to refer to temperatures from about 20°C to about 27°C.
- a direct or remote soft plasma of a nitrogen-containing reactant such as but not limited to NH3, N2, N2+H2, hydrazine, or methylamine, is exposed to the adsorbed monolayer on the substrate.
- a direct or remote soft plasma of a carbon-containing chemical species such as acetylene may also be employed to form the silicon carbonitride thin film.
- the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process.
- the processes according to the disclosure are distinctly different from plasma enhanced chemical vapor deposition (PE-CVD) since the formation of the film on the surface is not associated with vapor phase interactions of the precursor with plasma. While a purge step, i.e., a mechanism to remove non-adsorbed (unreacted) precursor and co-reactant, as well as byproducts from the vapor phase by vacuum or an inert gas flow is not required, such a step is preferred in some embodiments to eliminate the potential for undesirable vapor phase reactions.
- Such byproducts of the reaction of the parent precursor with the co-reactant and its subsequent decomposition include, for example, ligands and partial ligands from the parent molecule as well as partially decomposed precursor. Byproducts may also include partially decomposed co-reactant species and entities formed from precursor ligands and co-reactant species.
- aspects of the disclosure relate to the development and optimization of a plasma-activated process from one perhydridocyclotrisilazane, namely, 1,3,5- tri(isopropyl)cyclotrisilazane (TICZ, C9H27N3S13), which contains three C atoms on each N in the form of a propyl group.
- TICZ 1,3,5- tri(isopropyl)cyclotrisilazane
- the latter is eliminated at moderate temperatures in the form of the gaseous byproduct propylene, as shown in Scheme 1 : (Scheme 1)
- thin films of SiNx (where 0 ⁇ x ⁇ l .33) may be produced at moderate temperature.
- a process is accordingly provided for forming SiNx thin films using an N-alkyl substituted perhydridocyclotrisilazane precursor and a nitrogen-containing soft plasma co-reactant at moderate temperature (substrate temperatures of about 200°C to about 650°C, preferably about 200°C to about 350°C), and, in preferred embodiments, employing TICZ and soft remote ammonia (MU) plasma co-reactants.
- moderate temperature substrate temperatures of about 200°C to about 650°C, preferably about 200°C to about 350°C
- MU soft remote ammonia
- temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about 475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about °C 625, and about 650 °C, and all temperatures in between.
- This exemplary process for depositing such silicon nitride thins film onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing l,3,5-tri(isopropyl)cyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the 1,3,5- tri(isopropyl)cyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen- containing reactant; wherein the adsorbed l,3,5-tri(isopropyl)cyclotrisilazane monolayer reacts with
- the cycle is then repeated as many times as desired to form a SiNx thin film of a desired or predetermined thickness.
- the nitrogen-containing chemical species or co-reactant may be, for example and without limitation, NH3, N2, a mixture of N2 and H2, methylamine, and/or hydrazine; NEE is presently preferred.
- compositional and optical properties of the resulting SiNx (where 0 ⁇ x ⁇ 1.33) thin films have been analyzed by in-situ, real-time, spectroscopic ellipsometry and x-ray photoelectron spectroscopy (XPS), and their wet etch rates were determined using a standard IC industry etch solution. Such results are summarized and discussed below.
- high-quality silicon nitride (SiNx) thin films may be grown by remote-plasma pulsed processes from the source precursor 1,3,5- tri(isopropyl)cyclotrisilazane (TICZ, C9H27N3S13) and remote ammonia (NH3) soft plasma on substrates such as silicon oxide (S1O2) within an optimized substrate temperature window ranging from about 200°C to 350°C.
- TICZ 1,3,5- tri(isopropyl)cyclotrisilazane
- NH3 remote ammonia
- the process consists of four-steps: TICZ pulse with no plasma, optional N2 purge, NEE plasma pulse, and N2 purge.
- as-deposited SiNx films prepared from the process described herein were analyzed by spectroscopic ellipsometry and x-ray photoelectron spectroscopy (XPS).
- WER wet etch rates
- HF hydrofluoric acid
- XPS analysis yielded a Si:N ratio of ⁇ 1 : 1 within the entire substrate temperature range and validated the formation of the SiN phase.
- real-time ellipsometry measurements confirmed that SiNx growth exhibited a non-self-limiting pulsed behavior. They also yielded an as-grown SiNx average refractive index -1.8 for the films grown at substrate temperature above 200°C.
- TICZ was selected because it contains three C atoms on each N in the form of propyl groups, which are easily eliminated at moderate temperatures in the form of the gaseous byproduct propylene. TICZ is also generated in high yield and purity from readily available starting materials, as described below, thus demonstrating its suitability for high volume manufacturing. This research led to the identification of an optimized substrate temperature window ranging from 200 to 350°C for the formation of high-quality Si i . o:Ni . o films.
- the disclosure relates to a process for forming SiCxNy (0.40 ⁇ x ⁇ 1.67 and 0.67 ⁇ y ⁇ 0.86) thin films using an N-alkyl substituted perhydridocyclotrisilazane precursor and a nitrogen- or caron-containing soft plasma co-reactant at low temperature (substrate temperatures of room temperature to about 200 °C), and, in preferred embodiments, employing TICZ and remote ammonia (NTb) soft plasma co-reactants.
- N-alkyl substituted perhydridocyclotrisilazane precursor and a nitrogen- or caron-containing soft plasma co-reactant at low temperature (substrate temperatures of room temperature to about 200 °C)
- TICZ and remote ammonia (NTb) soft plasma co-reactants employing TICZ and remote ammonia (NTb) soft plasma co-reactants.
- This exemplary process for depositing silicon carbonitride thin films onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of room temperature to about 200°C; maintaining the substrate at room temperature to about 200°C; providing 1,3,5- tri(isopropyl)cyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the 1,3,5- tri(isopropyl)cyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen- or carbon-containing reactant; wherein the adsorbed l,3,5-tri(isopropyl)cyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/
- the cycle is then repeated as many times as desired to form a SiCxNy thin film of a desired or predetermined thickness.
- the nitrogen- containing chemical species or co-reactant may be, for example and without limitation, NTb, N2, a mixture of N2 and H2, methylamine and/or hydrazine; NH3 is presently preferred.
- the carbon- containing chemical species or co-reactant may be acetylene, for example.
- temperatures of room temperature to about 200°C include temperatures such as about 20 °C, about 25 °C, about 30 °C, about 45 °C, about 50 °C, about 60 °C, about 70 °C, about 80 °C, about 90 °C, about 100 °C, about 110 °C, about 120 °C, about 130 °C, about 140 °C, about 150 °C, about 160°C, about 170°C, about 180 °C, about 190°C, and about 200°C, and all temperatures in between.
- unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
- high quality SiCxNy thin films may be grown from l,3,5-tri(isopropyl)cyclotrisilazane and soft remote ammonia (NH3) plasma co reactants.
- the process involves four steps: thermal adsorption of TICZ to the substrate at low temperature (TICZ pulse with no plasma), optional nitrogen (N2) purge, soft NTb remote plasma step, and N2 purge. These steps are repeated until the desired film thickness is reached.
- the ratio of C to N in the films may be modulated by controlling the substrate temperature in the range of room temperature to about 200°C, preferably about 30°C to about 200°C.
- SiCxNy deposition using TICZ and soft remote ammonia (NTb) plasma as co-reactants yielded SiCxNy thin films with 0.40 ⁇ x ⁇ 1.67 and 0.67 ⁇ y ⁇ 0.86 in the substrate temperature range of 30 to 150°C.
- the disclosure relates to a method for deposition of a silicon oxide thin film onto a substrate in a reaction zone of a deposition chamber.
- the method comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of an oxygen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisil
- the cycle is then repeated as many times as desired to form a silicon oxide thin film of a desired or predetermined thickness.
- the oxygen-containing chemical species or co-reactant may be, for example and without limitation, ozone, O2, and water.
- the preferred N-alkyl substituted perhydridocyclotrisilazane is TICZ.
- temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about 475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about 625 °C, and about 650 °C, and all temperatures in between.
- unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
- the disclosure provides a method for deposition of a silicon thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a hydrogen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisil
- the cycle is then repeated as many times as desired to form a silicon oxide thin film of a desired or predetermined thickness.
- the hydrogen- containing chemical species or co-reactant may be, for example and without limitation, Th.
- the preferred N-alkyl substituted perhydridocyclotrisilazane is TICZ.
- temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about 475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about 625 °C, and about 650 °C, and all temperatures in between.
- unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
- TICZ vapor pressure was determined by a combination of distillation temperature, pressure readings ( ⁇ 10 torr), and pressure-cell DSC measurements (>10 torr). The latter employed a TA Instruments Pressure DSC 25P instrument utilizing Tzero Hermetic Pinhole (75 pm) Lids, 2-5 mg sample size, and a 15°C/min ramp rate.
- a Picosun R-200 R&D system equipped with a sample load-lock to maintain the cleanliness and vacuum integrity of the reaction chamber and a remote inductively-coupled plasma (ICP) power source was employed in process development and optimization. All depositions were performed on substrates consisting of 1000 nm-thick silicon dioxide thermally grown on n-doped Si wafers, acquired from Addison Engineering. The samples were loaded as-received and were subjected to an in-situ NH3 plasma clean at a plasma frequency of 13.56MHz and a plasma power of 2000W for five minutes before each deposition run.
- ICP inductively-coupled plasma
- the TICZ precursor was loaded in a specialized bubbler which was connected to the Picosun precursor manifold system and heated to 50°C. All delivery lines were also heated to 90°C to inhibit premature precursor condensation prior to entering the reaction chamber. N2 gas was used as carrier gas and set at 100 seem.
- Precursor exposure and plasma pulse durations and purge times were identical for substrate temperatures of 50, 150, 200, 250, 300, and 350°C, except no precursor purge time was applied in the 350°C run.
- NEE remote plasma frequency, flow rate, and power were set at 13.56MHz, 40 seem, and 2000W, respectively. The samples were subsequently transferred to the load lock system and allowed to cool to room temperature in a N2 atmosphere prior to removal from the Picosun system.
- the SiNx samples were capped with an approximately 10-15 nm-thick zinc oxide (ZnOx) layer to prevent surface contamination during transport and handling.
- the ALD ZnOx process employed 100 cycles of the reaction of diethyl-zinc (DEZ) as the Zn source and water as the oxygen source.
- ZnOx was grown in-situ immediately following and at the same temperature as the SiNx deposition, except in the case of the 50°C sample, in which the temperature was increased to 150°C for the ZnOx deposition.
- the process consisted of a four-steps: 0.1 sec DEZ pulse, 5 secs N2 purge, 0.1 sec water vapor pulse, 5 secs N2 purge.
- XPS was carried out at Eurofms EAG Materials Science, LLC on a PHI Quantum 2000 system. X-rays were generated from a monochromated Alk a source at 1486.6eV and directed at the sample at a ⁇ 23° acceptance angle and 45° take-off angle. In-depth compositional analysis was performed with an Ar + ion gun at 2keV, 4mmx2mm raster, and 3.8nm/min sputter rate. No deconvolution was applied to the data since the Si, N, C, and O peaks were well separated from each other. All data processing (integration) was performed using CasaXPS software from Casa Software Ltd. Montage plots were generated using MultiPak software, produced by Ulvac-phi, Inc.
- TICZ l,3,5-tri(isopropyl)cyclotrisilazane
- TICZ C9H27N3S13
- Pertinent properties of TICZ are displayed in Table I and its vapor pressure versus temperature parameters are shown in Fig. 1. It should be noted that the precursor synthesis recipe described earlier can also produce other analogs, such as l,3,5-tri(ethyl)cyclotrisilazane and l,3,5-tri(t-butyl)cyclotrisilazane, which have different volatility and deposition characteristics.
- Fig. 3 depicts in-situ, real-time ellipsometry profiles of film thickness versus duration of deposition for films grown at 200°C substrate temperature for TICZ pulse times of 0.4 sec, 1.0 sec, 2.0 secs, 3.0 secs, and 5.0 secs.
- film thickness continues to increase with precursor pulse time and does not saturate, regardless of precursor pulse time.
- This behavior shows that the TICZ adsorption step is not self-limiting and supports the assertion that the growth of SiNx films does not occur through an ALD process.
- the same film thickness dependence on pulse time was observed within the entire substrate temperature range investigated, from 50°C to 350°C, indicating that film formation does not occur through an ALD growth mode within all the process windows investigated.
- Figs. 4 and 5 display plots of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 150, 175, 200, 225, 300, and 350°C.
- Fig. 4 shows that film thickness increases with longer deposition time.
- a gradual decrease in the slope of each film thickness curve is also observed with higher substrate temperature. This decrease is indicative of a reduction in growth rate per cycle (GPC) with the rise in substrate temperature. It is suggested that the decline in GPC is attributed to a reduction in precursor partial vapor pressure in the reaction zone in proximity of the substrate.
- GPC growth rate per cycle
- This decrease is believed to be possibly due to the geometry of the deposition chamber, which induces additional heating at the point of entry of the precursor into the reactor with increased substrate temperature, leading to some precursor decomposition prior to reaching the reaction zone.
- the decrease could be caused by a higher frequency of recombination of precursor species and associated ligands with the rise in thermal budget, and their subsequent desorption from the substrate surface, thus restricting the TICZ and NFb reaction rate.
- Fig. 5 shows that film formation occurs instantaneously in the first deposition cycle without the manifestation of an incubation period or delay in film nucleation and growth, in contrast to a number of prior reports in the literature for SiNx produced from ALD and CVD. This feature is important as it negates the requirement for substrate surface pre-treatments, thus eliminating added complexity and cost in the incorporation of SiNx deposition in heterodevice structures manufacturing process flows.
- Table II presents ellipsometry-derived values for film thickness, growth rate per cycle (GPC), and index of refraction for as-deposited SiNx films as a function of substrate temperature.
- Table III provides representative SiNx atomic concentrations within the bulk of films grown at 200 °C, 250 °C, and 300°C at a depth of ⁇ 25nm.
- the SiCxNy growth runs were followed in-situ with the deposition of an approximately 10 to 15 nm -thick zinc oxide (ZnOx) capping layer to prevent SiCxNy contamination upon exposure to air and during subsequent transport and handling.
- ZnOx zinc oxide
- a standard ALD process was employed for the ZnOx step.
- the substrate temperature was maintained at the same value as the SiCxNy deposition step, except in the case of the 50 °C SiCxNy film, for which the temperature was increased to 150 °C for the ZnOx growth step.
- the ALD ZnOx process employed the reaction of diethyl zinc (DEZ) and water (H2O) as the Zn and O sources, respectively: it involved a 0.1 s DEZ pulse and 0.1 s H2O vapor pulse, separated by 5 s N2 purge each.
- DEZ diethyl zinc
- H2O water
- Table V presents representative atomic concentration percentages of Si, C, N, and O in films deposited at 50, 150, and 200 °C. The values were determined by quantitative XPS analysis, as shown in Figures 10 and 11 for SiCxNy samples deposited at 50 and 150 °C, respectively.
- Oxygen content in the films was ⁇ 10 at% at 50 °C and decreased to 3-5 at% at higher temperatures.
- the presence of this small concentration of O could be due to FbO reaction with SiCxNy during the subsequent in-situ ALD ZnOx capping layer step. It could also result from impurities in NFb or N2 and/or the well-known issue of plasma etching of the AI2O3 dielectric liners that are employed in ICP plasma sources.
- a gradual decrease in C concentration was also observed at higher substrate temperature, while the N content exhibited a steady increase, as seen in Table V and in Figs. 10 and 11.
- the simple Si-C and Si-N bonds in the inventive SiCxNy films with no changes in the bonding configuration or chemical structure as function of temperature, provide a stable and consistent SiCxNy matrix for applications that require thermally fragile, chemically sensitive substrates, including plastics and polymers.
- XPS analysis therefore supports the assertion that applying a soft remote plasma in concert with a reduced substrate thermal budget in a pulsed mode where the N-alkyl substituted perhydridocyclotrisilazane precursor and NH3 co-reactant are directed to react only on the substrate surface does yield: (i) a SiCxNy matrix with a temperature-independent bonding configuration of simple cross-linked Si-C and Si-N bonds; and (ii) a gradual and controlled decrease in C content with increasing substrate temperature.
- Figure 14 displays SiCxNy film thickness as a function of deposition time for TICZ pulse times of 0.1, 0.2, 0.4, and 0.8 s.
- Substrate temperature was maintained at 150 °C in all runs. The data indicate that film thickness exhibits a steady rise with higher TICZ pulse durations and does not reach a plateau at which it ceases to increase, as would have been expected in an ALD process.
- Fig. 15 provides in-situ, real-time, angle-resolved ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 30, 60, 90, 120, 150, and 170 °C.
- the plots show that film nucleation and growth occur instantaneously, as indicated by the immediate rise in film thickness within the first deposition cycle. This feature is important, as it demonstrates the absence of an incubation period prior to the onset of SiCxNy film formation, as has been reported in the literature for other ALD and P-CVD work.
- Fig.15 shows a gradual decrease in the slope of the film thickness curves, and thus the SiCxNy growth rate per cycle, with increasing substrate temperature.
- the reduction in GPC at higher substrate temperatures could potentially be caused by: (i) a decrease in TICZ partial vapor pressure in proximity to the substrate due to the geometry of the reactor, which induces a gradual rise in precursor decomposition at its point of entry into the chamber and prior to reaching the substrate, and/or (ii) a higher rate of desorption of the precursor and associated moieties from the substrate surface prior to the NEE co-reactant step due to the rise in thermal budget.
- ex-situ ellipsometry measurements yielded refractive indices of -1.49, -1.51, and -1.80 for the films deposited at 50, 150, and 200 °C, respectively.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Silicon Polymers (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Low to moderate temperature vapor deposition processes are provided for the deposition of silicon-based thin films, such as silicon nitride films, silicon carbonitride films, silicon oxide films, and silicon films. The processes includes in a single cycle, heating a substrate to a predetermined temperature; providing a precursor containing an N-alkyl substituted perhydridocyclotrisilazane in the vapor phase to a reaction zone containing the substrate, forming a monolayer of the precursor by adsorption to the substrate surface, and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a co-reactant. The adsorbed precursor monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon-based thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process. The cycle is then repeated to form a silicon-based thin film of a desired thickness.
Description
[0001] Silicon-based Thin Films from N-alkyl Substituted Perhydridocyclotrisilazanes
CROSS REFERENCE TO RELATED APPLICATION
[0002] This application claims priority to U.S. Provisional Patent Application No. 63/030,684, filed May 27, 2020, the disclosure of which is herein incorporated by reference.
BACKGROUND OF THE INVENTION
[0003] Research, development, and manufacturing interests in Si-based thin films, such as (SiNx) and silicon oxide (S1O2), are at an unprecedented intensity, driven not only by the need to extend its historical applicability in the ever evolving integrated circuitry (IC) and solar cell industries, but also by its potential use in a myriad of new applications. The latter include, for instance, host matrices for Si nanocrystals (Si-NCs) and quantum dots (QDs) for photoluminescence applications, waveguides in nonlinear frequency combs for sensors and photonic devices for telecommunications, tunable luminescent films for Si-based light emitting diodes (LEDs) in Si based monolithic optoelectronic integration, passivation/encapsulation nanostructures at the interface with gallium arsenide (GaAs) for compound semiconductor devices, and base platform for integration with biological materials for biochemistry and medical applications.
[0004] The tremendous appeal of Si-based thin films is propelled by its highly attractive mix of physical, chemical, mechanical, electrical, and optoelectronic properties, which make it one of the most commonly used materials across a diversified array of industries. Many of these industries share the same evolutionary drivers in terms of migration towards the incorporation of heterodevice structures with smaller feature sizes using thermally and/or chemically sensitive substrates. As a result, R&D activities have focused on the development and optimization of low-temperature deposition processes, such as direct and remote plasma-enhanced chemical vapor deposition (PE- CVD), laser-assisted CVD, mirror-plasma enhanced chemical vapor deposition (MPECVD), multiple-holes hollow-cathode radio-frequency (RF) PECVD, and direct, remote, and glow- discharge plasma-enhanced atomic layer deposition.
[0005] Despite these extensive R&D efforts, significant challenges must be overcome to enable the extendibility of Si-based thin films to heterodevice applications. For one, the lion share of PE-CVD and PE-ALD processes rely on the use of silane (S1H4) and silane-type precursors. The
inherent issues associated with the use of such chemistries are well documented and include their pyrophoric nature, increased thermal budget, and the incorporation of high levels of hydrogen. In addition, PE-ALD processes using silane-type silicon sources suffer from substrate surface adsorption and nucleation problems, thus requiring substrate surface pre-treatment which adds complexity and cost. Furthermore, the resulting films consist quite frequently of varying concentrations of silicon, oxygen, and nitrogen, with the inclusion of significant levels of contaminants, and/or the presence of Si to N and Si to O compositional gradients between the interfacial, bulk, and surface regions of the films.
[0006] For these reasons, it is desirable to provide a thin film deposition technique that overcomes the above-discussed drawbacks of conventional deposition techniques by depositing high-quality Si-based thin films, such as (SiNx) and silicon oxide (S1O2), as well as their alloys, such as SiCxNy, at low temperature, while minimizing the number and complexity of substrate surface pre-treatment steps and thereby maximizing process efficiency and productivity.
BRIEF SUMMARY OF THE INVENTION
[0007] In one embodiment, the present disclosure relates to a method for deposition of a silicon nitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N- alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon nitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone via a purge step with an inert gas and/or the vacuum.
[0008] In a second embodiment, a method for deposition of a silicon oxide thin film onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the
vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of an oxygen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon oxide thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
[0009] In a further embodiment, the disclosure provides a method for deposition of a silicon carbonitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of room temperature to about 200°C; maintaining the substrate at room temperature to about 2000°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N- alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
[0010] In another embodiment, the disclosure provides method for deposition of a silicon thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a hydrogen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a
silicon thin film via dissociation and/or decomposition due to or enabled by a substrate surface- induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS (00 J I] The following detailed description of preferred embodiments of the present invention will be better understood when read in conjunction with the appended drawing. For the purposes of illustrating the invention, there is shown in the drawing an embodiment which is presently preferred. It is understood, however, that the invention is not limited to the precise arrangements and instrumentalities shown. In the drawings:
(0012) Fig. 1 is a graph of TICZ experimental vapor pressure data and Antoine Equation’s fit over the range of 0.14 to 760 torn
[00131 Fig. 2 is an optimized SiNx process window schematic for various substrate temperatures ranging from 50 to 350°C.
[0014] Fig. 3 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperature of 200°C for TICZ exposure times of 0.4 sec, 1.0 sec, 2.0 secs, 3.0 secs, and 5.0 secs.
[0015| Fig. 4 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 150, 175, 200, 225, 300, and 350°C.
|0016] Fig. 5 is a graph of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for the first minute of SiNx processing.
[00I7| Fig. 6 is an XPS profile of Zn, Si, N, C, and O concentrations versus penetration depth in SiNx films deposited at 200°C.
(0018] Fig. 7 is an XPS profile of Zn, Si, N, C, and O concentrations versus penetration depth in SiNx films deposited at 300°C.
(0019) Fig. 8 depicts high-resolution XPS spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiNx films deposited at 200°C.
[0020] Fig. 9 depicts high-resolution XPS spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiNx films deposited at 300°C.
[0021] Fig. 10 is an XPS depth profile of Zn, Si, N, C, and O concentrations for SiCxNy films deposited at 50°C.
[0022] Fig. 11 is an XPS depth profile of Zn, Si, N, C, and O concentrations for SiCxNy films deposited at 150°C.
[0023] Fig. 12 depicts high-resolution XPS core level spectra for Si 2p, N Is, C Is, and O Is binding energies versus penetration depth in SiCxNy films deposited at 50°C.
[0024| Fig. 13 depicts high-resolution XPS core level spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth in SiCxNy films deposited at 150°C.
|0025] Fig. 14 is a graph of in-situ, real-time, angle-resolved ellipsometry measurements of SiCxNy film thickness versus deposition time for a substrate temperature of 150°C and TICZ pulse times of 0.1 second, 0.2 second, 0.4 second, and 0.8 second.
[0026] Fig. 15 is a graph of in-situ, real-time, angle-resolved ellipsometry measurements of SiCxNy film thickness versus deposition time for substrate temperatures of 30, 60, 90, 120, 150, and 170°C.
DETAILED DESCRIPTION OF THE INVENTION [0027] Aspects of the disclosure relate to low to moderate temperature vapor deposition processes for the deposition of Si-based thin films onto substrates in the reaction zone of a deposition chamber. The processes include, in a single cycle, heating a substrate to a desired temperature and maintaining the substrate at this temperature, providing a precursor comprising an N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to a reaction zone containing the substrate, forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption on the substrate surface, optionally removing unreacted perhydridocyclotrisilazane and byproducts thereof from the reaction zone using an inert gas through a purge step and/or the vacuum, and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of another chemical species or co-reactant, wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a Si-based thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process, in which byproducts of the conversion are removed from the reaction zone by an inert gas via a purge step and/or the vacuum. The single cycle is then repeated as many times as desired to form a Si- based thin film of a desired or predetermined thickness. As described in detail below, the appropriate substrate temperature and the components of the soft plasma are determined by the
desired chemical composition of the silicon-based thin film, such as silicon nitride, silicon carbonitride, silicon oxide, or silicon.
[0028] The term “thin film” is well understood in the art, and may include films ranging in thickness from a few nanometers to a few microns. As explained above, such film thickness is controlled by the number of cycles which are performed.
[0029] In some embodiments, the conversion of the adsorbed monolayer to a discrete atomic or molecular layer may be aided or enabled by energy transfer provided from an energy source, such as a heated substrate. For example, surface-induced processes, such as energy transfer (thermal exposure) from the substrate, remote or direct plasma application, oxidation, and/or reduction may be used to initiate or facilitate conversion of the adsorbed monolayer to the final deposited film.
10930] The term “soft plasma” refers to plasma-induced processes which impart minimum energy onto a subject film or substrate so as to result in very little or no mechanical, chemical, physical, or electrical damage to the film and substrate. Similarly, soft plasma refers to plasma- induced processes which impart energy onto the subject molecule which is lower than the threshold for breakage, fragmentation, or decomposition of the subject molecule. Instead, a soft plasma is designed to impart sufficient activation energy to the precursor to enable its controlled decomposition in combination with an additional source of thermal energy, preferably on a substrate surface.
[0031] The processes described herein employ as Si source precursors the class of N-alkyl substituted perhydridocyclotrisilazanes. Unlike perhydridocyclic silazanes with methyl groups on the N atoms, this class of silazanes contains alkyl radicals with at least two carbon atoms on each N atom, providing a mechanism for low to moderate temperature SiNx deposition by elimination of the alkyl substitutions. In contrast, the simple methyl groups in the methyl-substituted perhydridocyclic silazanes require higher temperatures for film formation and incorporate significantly higher carbon concentration into the resulting films. This is presumably due to the lack of a low-energy elimination mechanism for these methyl groups.
[0032 [ The favorable chemical structure and bonding configuration of the N-alkyl substituted perhydridocyclotrisilazanes have been engineered to enable the clean removal of the alkyl groups at low to moderate temperature to yield pure Si-based thin films. Furthermore, the presence of H atoms on the Si atoms minimizes the steric hindrance and provides the precursor molecule higher accessibility to reactive substrate surface sites, whether those sites are H-terminated (such as Si) or HO-terminated (such as SiCk). In other words, the precursor adsorption to the substrate surface and
possible partial decomposition through breakage of some of its ligands is immediate upon contact with the substrate. This feature has important implications, as film nucleation and growth can occur instantaneously in the first cycle without the existence of an incubation period, in contrast to what is reported in the prior art, thus eliminating the need for substrate surface pre-treatments that result in additional complexity and added cost of ownership.
[0033] The film-forming processes according to the disclosure are plasma-activated, not plasma-enhanced or plasma-assisted. In a plasma activated process, the precursor exposure step is carried out thermally without the involvement of a plasma, while the co-reactant (such as, for example, NTk, N2, N2+H2, hydrazine, oxygen, ozone, water, or H2) is introduced in a direct or remote soft plasma. As such, the precursor adsorption step is activated by thermal and chemical energy from the substrate and not from a plasma, which enables the precursor (or a partial precursor species due to the possible elimination of some of the precursor ligands or attached radicals and groups upon engagement with the substrate) to adsorb physically or chemically in a conformal fashion to the various substrate surface topographies, including, for example, high aspect ratio via and trench structures. As a result, the subsequent remote or direct co-reactant plasma will lead to the formation of the desired film conformally with equal thickness across the entire substrate surface, including in highly aggressive device geometries.
[0034 j The processes according to the disclosure are performed at a substrate temperature that leads to partial or complete decomposition of the pulsed precursor upon engagement with the substrate during every exposure cycle, as opposed to being limited to a mere physisorption or chemisorption reaction of the complete precursor. A co-reactant is subsequently introduced to complete the decomposition reaction and/or remove the reaction byproducts to ensure a clean film. As such, the process requires lower thermal, chemical, or plasma-energy to grow the target film since the precursor has already partially decomposed upon reaching the substrate surface.
[0035] The decomposition of the precursor in the methods according to the disclosure is induced by three processes working in tandem: precursor adsorption to the substrate with partial removal of some ligands, thermal energy from the substrate, and soft plasma activation.
[0036] Appropriate substrates include, without limitation, those formed of a material selected from the group consisting of silicon, silicon oxide, copper, platinum, titanium, titanium nitride, tantalum and tantalum nitride.
[0037[ The process described herein is equally applied to the reaction of the N-alkyl substituted perhydridocyclotrisilazanes with a nitrogen source such as, without limitation, a direct or remote
NH3, N2, N2+H2, hydrazine, or methylamine soft plasma, to form silicon nitride (SiNx); an oxygen source, such as, without limitation, a direct or remote ozone, water, or O2 soft plasma, to form silicon oxide (S1O2); and a reactive hydrogen source, such as a direct or remote H2 soft plasma, to form pure Si. The process may also be applied to the formation of SiCxNy compound thin films through the decomposition of N-alkyl substituted perhydridocyclotrisilazanes via exposure to a direct or remote soft plasma of a nitrogen- or carbon-containing chemical species or co-reactants. The ratio of C to N (C/N) in the films is modulated by controlling the substrate temperature and duration of the co-reactant pulse.
[0038| Specifically, control of the substrate temperature in the reaction zone of the deposition chamber affects the chemical composition of the resulting silicon-containing thin film. Specifically, in light of the chemical structure and bonding configuration of the N-alkyl substituted perhydridocyclotrisilazanes, the energetics of the reaction between the soft plasma and the source precursor at higher, but moderate, substrate temperatures (such as about 200°C to about 650°C, preferably about 200 °C to about 350 °C) leads to the formation of SiN films, whereas low substrate temperatures (room temperature to about 200°C, preferably about 30°C to about 200°C) lead to the formation of SiCxNy films consisting of a matrix of simple Si-C and Si-N bonds, with no C-N bonds. For the purposes of this disclosure, the term “room temperature” may be understood to refer to temperatures from about 20°C to about 27°C. In both processes, a direct or remote soft plasma of a nitrogen-containing reactant, such as but not limited to NH3, N2, N2+H2, hydrazine, or methylamine, is exposed to the adsorbed monolayer on the substrate. A direct or remote soft plasma of a carbon-containing chemical species such as acetylene may also be employed to form the silicon carbonitride thin film. The adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process.
[0039] The processes according to the disclosure are distinctly different from plasma enhanced chemical vapor deposition (PE-CVD) since the formation of the film on the surface is not associated with vapor phase interactions of the precursor with plasma. While a purge step, i.e., a mechanism to remove non-adsorbed (unreacted) precursor and co-reactant, as well as byproducts from the vapor phase by vacuum or an inert gas flow is not required, such a step is preferred in some embodiments to eliminate the potential for undesirable vapor phase reactions. Such byproducts of the reaction of the parent precursor with the co-reactant and its subsequent decomposition include, for example,
ligands and partial ligands from the parent molecule as well as partially decomposed precursor. Byproducts may also include partially decomposed co-reactant species and entities formed from precursor ligands and co-reactant species.
[0040| In one embodiment, aspects of the disclosure relate to the development and optimization of a plasma-activated process from one perhydridocyclotrisilazane, namely, 1,3,5- tri(isopropyl)cyclotrisilazane (TICZ, C9H27N3S13), which contains three C atoms on each N in the form of a propyl group. The latter is eliminated at moderate temperatures in the form of the gaseous byproduct propylene, as shown in Scheme 1 :
(Scheme 1)
[0041 [ Using such a perhydridocyclotrisilazane, thin films of SiNx (where 0<x<l .33) may be produced at moderate temperature. A process is accordingly provided for forming SiNx thin films using an N-alkyl substituted perhydridocyclotrisilazane precursor and a nitrogen-containing soft plasma co-reactant at moderate temperature (substrate temperatures of about 200°C to about 650°C, preferably about 200°C to about 350°C), and, in preferred embodiments, employing TICZ and soft remote ammonia (MU) plasma co-reactants. It may be understood that the ranges of substrate temperatures are inclusive of all temperatures within the range, so that temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about 475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about °C 625, and about 650 °C, and all temperatures in between.
| 042] This exemplary process for depositing such silicon nitride thins film onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing l,3,5-tri(isopropyl)cyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the 1,3,5-
tri(isopropyl)cyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen- containing reactant; wherein the adsorbed l,3,5-tri(isopropyl)cyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon nitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone via a purge step with an inert gas and/or the vacuum. The cycle is then repeated as many times as desired to form a SiNx thin film of a desired or predetermined thickness. The nitrogen-containing chemical species or co-reactant may be, for example and without limitation, NH3, N2, a mixture of N2 and H2, methylamine, and/or hydrazine; NEE is presently preferred.
1 043 j In some embodiments, after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
[0044] The compositional and optical properties of the resulting SiNx (where 0<x<1.33) thin films have been analyzed by in-situ, real-time, spectroscopic ellipsometry and x-ray photoelectron spectroscopy (XPS), and their wet etch rates were determined using a standard IC industry etch solution. Such results are summarized and discussed below.
[0045] Accordingly, by employing the process described herein, high-quality silicon nitride (SiNx) thin films may be grown by remote-plasma pulsed processes from the source precursor 1,3,5- tri(isopropyl)cyclotrisilazane (TICZ, C9H27N3S13) and remote ammonia (NH3) soft plasma on substrates such as silicon oxide (S1O2) within an optimized substrate temperature window ranging from about 200°C to 350°C. Briefly, the process consists of four-steps: TICZ pulse with no plasma, optional N2 purge, NEE plasma pulse, and N2 purge. As described below, as-deposited SiNx films prepared from the process described herein were analyzed by spectroscopic ellipsometry and x-ray photoelectron spectroscopy (XPS). Wet etch rates (WER) were determined using a standard solution consisting of 0.5% hydrofluoric acid (HF) in deionized water. XPS analysis yielded a Si:N ratio of ~1 : 1 within the entire substrate temperature range and validated the formation of the SiN phase. In situ, real-time ellipsometry measurements confirmed that SiNx growth exhibited a non-self-limiting pulsed behavior. They also yielded an as-grown SiNx average refractive index -1.8 for the films grown at substrate temperature above 200°C.
[0046] Key findings from the development and optimization of a low-temperature process for SiNx films using the reaction of the source precursor TICZ with NIK plasma are as follows. TICZ was selected because it contains three C atoms on each N in the form of propyl groups, which are easily eliminated at moderate temperatures in the form of the gaseous byproduct propylene. TICZ is also generated in high yield and purity from readily available starting materials, as described below, thus demonstrating its suitability for high volume manufacturing. This research led to the identification of an optimized substrate temperature window ranging from 200 to 350°C for the formation of high-quality Si i.o:Ni.o films. Wet etch studies in a standard IC industry solution consisting of 0.5% HF in deionized ThO produced viable etch rates that are competitive with those reported in the literature. Ellipsometry analyses of film nucleation and growth characteristics showed that film formation occurs instantaneously in the first deposition cycle without the existence of an incubation period, in contrast to a number of prior reports in the literature for ALD and CVD SiNx, thus eliminating the need for substrate surface pre-treatments that result in additional complexity and added cost of ownership. These results demonstrate that SiNx using TICZ as a silicon source precursor is a viable option for incorporation in emerging heterodevice structures manufacturing process flows.
[0047] In another embodiment, the disclosure relates to a process for forming SiCxNy (0.40<x<1.67 and 0.67<y<0.86) thin films using an N-alkyl substituted perhydridocyclotrisilazane precursor and a nitrogen- or caron-containing soft plasma co-reactant at low temperature (substrate temperatures of room temperature to about 200 °C), and, in preferred embodiments, employing TICZ and remote ammonia (NTb) soft plasma co-reactants. This exemplary process for depositing silicon carbonitride thin films onto a substrate in a reaction zone of a deposition chamber comprises, in a single cycle: heating a substrate to a temperature of room temperature to about 200°C; maintaining the substrate at room temperature to about 200°C; providing 1,3,5- tri(isopropyl)cyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the 1,3,5- tri(isopropyl)cyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen- or carbon-containing reactant; wherein the adsorbed l,3,5-tri(isopropyl)cyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction
zone via a purge step with an inert gas and/or the vacuum. The cycle is then repeated as many times as desired to form a SiCxNy thin film of a desired or predetermined thickness. The nitrogen- containing chemical species or co-reactant may be, for example and without limitation, NTb, N2, a mixture of N2 and H2, methylamine and/or hydrazine; NH3 is presently preferred. The carbon- containing chemical species or co-reactant may be acetylene, for example.
[0048] It may be understood that the ranges of substrate temperatures are inclusive of all temperatures within the range, so that temperatures of room temperature to about 200°C include temperatures such as about 20 °C, about 25 °C, about 30 °C, about 45 °C, about 50 °C, about 60 °C, about 70 °C, about 80 °C, about 90 °C, about 100 °C, about 110 °C, about 120 °C, about 130 °C, about 140 °C, about 150 °C, about 160°C, about 170°C, about 180 °C, about 190°C, and about 200°C, and all temperatures in between.
[0049] In some embodiments, after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
[0050] Accordingly, by employing the process described herein, high quality SiCxNy thin films may be grown from l,3,5-tri(isopropyl)cyclotrisilazane and soft remote ammonia (NH3) plasma co reactants. Briefly, the process involves four steps: thermal adsorption of TICZ to the substrate at low temperature (TICZ pulse with no plasma), optional nitrogen (N2) purge, soft NTb remote plasma step, and N2 purge. These steps are repeated until the desired film thickness is reached. The ratio of C to N in the films may be modulated by controlling the substrate temperature in the range of room temperature to about 200°C, preferably about 30°C to about 200°C. In-situ analysis of the deposition process has been carried-out using spectroscopic ellipsometry, and the films were analyzed by x-ray photoelectron spectroscopy (XPS). The findings of this study indicate that the combination of reduced substrate thermal budget and soft remote plasma provides an optimum low energy environment for the controlled deposition of SiCxNy protective coatings on thermally fragile, chemically sensitive substrates, including plastics and polymers.
[0051 ] As described below, it has been found that low (near room temperature) SiCxNy deposition using TICZ and soft remote ammonia (NTb) plasma as co-reactants yielded SiCxNy thin films with 0.40<x<1.67 and 0.67<y<0.86 in the substrate temperature range of 30 to 150°C. XPS analysis indicated that the SiCxNy films consisted predominantly of a temperature-independent matrix of simple cross-linked Si-C and Si-N bonds. In situ , real-time, angle-resolved ellipsometry
showed that all films were grown in a plasma pulse regime, with film nucleation and growth occurring instantaneously without an incubation period similar to that reported in the literature for ALD and P-CVD work. This feature makes this SiCxNy process attractive from a manufacturing perspective due to the elimination of ex-situ or in-situ pre-deposition substrate surface treatments, leading to an increase in process efficiency and a reduction in both process steps and cost of ownership. These findings therefore demonstrate that applying a soft remote plasma in concert with a reduced substrate thermal budget where TICZ and NTb co-reactants are directed to react only on the substrate surface constitutes a promising approach for growing of SiCxNy protective coatings within a low energy environment for potential applications that require thermally fragile, chemically sensitive substrates, including plastics and polymers.
10052] In a further embodiment, the disclosure relates to a method for deposition of a silicon oxide thin film onto a substrate in a reaction zone of a deposition chamber. The method comprises, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of an oxygen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon oxide thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum. The cycle is then repeated as many times as desired to form a silicon oxide thin film of a desired or predetermined thickness. The oxygen-containing chemical species or co-reactant may be, for example and without limitation, ozone, O2, and water. The preferred N-alkyl substituted perhydridocyclotrisilazane is TICZ.
[0053] It may be understood that the ranges of substrate temperatures are inclusive of all temperatures within the range, so that temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about
475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about 625 °C, and about 650 °C, and all temperatures in between.
[0054] In some embodiments, after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
|0055] In a further embodiment, the disclosure provides a method for deposition of a silicon thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C, preferably about 200°C to about 350°C; maintaining the substrate at about 200°C to about 650°C, preferably about 200°C to about 350°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a hydrogen- containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon thin film via dissociation and/or decomposition due to or enabled by a substrate surface- induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum. The cycle is then repeated as many times as desired to form a silicon oxide thin film of a desired or predetermined thickness. The hydrogen- containing chemical species or co-reactant may be, for example and without limitation, Th. The preferred N-alkyl substituted perhydridocyclotrisilazane is TICZ.
[0056] It may be understood that the ranges of substrate temperatures are inclusive of all temperatures within the range, so that temperatures of about 200°C to about 650°C include temperatures such as about 225 °C, about 250 °C, about 275 °C, about 300 °C, about 325 °C, about 300 °C, about 325 °C, about 350 °C, about 375 °C, about 400 °C, about 425 °C, about 450 °C, about 475 °C, about 500 °C, about 525 °C, about 550 °C, about 575 °C, about 600 °C, about 625 °C, and about 650 °C, and all temperatures in between.
[0057] In some embodiments, after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, unreacted N-alkyl substituted
perhydridocyclotrisilazane and byproducts thereof are removed from the reaction zone through a second purge step with an inert gas and/or the vacuum.
[0058] The invention will now be described in conjunction with the following, non-limiting examples.
Example 1: Formation o fSiN Thin Film Synthesis of Precursor
|0059] Under an argon atmosphere, a 5-liter 4-necked flask equipped with a cooling bath, overhead stirrer, pot thermometer, sub-surface dip-tube, and dry-ice condenser was charged with 909 grams of methyl t-butyl ether. The mixture was cooled to -40° C, and then 303.0 grams (3 moles) of dichlorosilane were slowly added to the pot. 364.7 grams (6.0 moles) of isopropylamine were then added via dip-tube at temperatures in the range of -30 to -20° C over a period of 2.5 hours. After the addition was completed, the reaction mixture was slowly warmed up to 25°C and stirred at this temperature for 8 to 14 hours. This step was followed by the addition of 177.4 g (3 moles) of isopropylamine at temperatures in the range of 0 to 40°C, and the subsequent addition of another 227.3 grams of methyl t-butyl ether. The mixture was stirred for 6 to 16 hours and monitored by gas chromatography (GC). The reaction solution was then filtered and solvents were removed from the filtrates under reduced pressure below 50°C. The filtering process was repeated, with fractional distillation of the clear filtrates yielding 64.5 g (24.66) of TiCZ.
[0060] TICZ vapor pressure was determined by a combination of distillation temperature, pressure readings (< 10 torr), and pressure-cell DSC measurements (>10 torr). The latter employed a TA Instruments Pressure DSC 25P instrument utilizing Tzero Hermetic Pinhole (75 pm) Lids, 2-5 mg sample size, and a 15°C/min ramp rate. Fig. 1 provides actual vapor pressure data from distillation and DSC measurements, as well as Antoine Equation’s fit [Log(P) = A-B/(C+T)] over the range of 0.14 to 760 torr.
Processing Conditions for SiN Thin Film Deposition
[0061] A Picosun R-200 R&D system equipped with a sample load-lock to maintain the cleanliness and vacuum integrity of the reaction chamber and a remote inductively-coupled plasma (ICP) power source was employed in process development and optimization. All depositions were performed on substrates consisting of 1000 nm-thick silicon dioxide thermally grown on n-doped Si wafers, acquired from Addison Engineering. The samples were loaded as-received and were
subjected to an in-situ NH3 plasma clean at a plasma frequency of 13.56MHz and a plasma power of 2000W for five minutes before each deposition run.
[0062] The TICZ precursor was loaded in a specialized bubbler which was connected to the Picosun precursor manifold system and heated to 50°C. All delivery lines were also heated to 90°C to inhibit premature precursor condensation prior to entering the reaction chamber. N2 gas was used as carrier gas and set at 100 seem.
|0063] Process development and optimization for SiNxfrom the reaction of TICZ and NH3 plasma was carried out in two phases. In a first “proof-of-concept” phase, a systematic set of screening experiments were conducted to identify optimum values for the duration of the TICZ pulse, purge step, and NEE plasma pulse, as well as N2 purge gas flow rate, NH3 remote plasma flow rate, and plasma power. In a second “process optimization” phase, the key experimental parameters were set as shown in Fig. 2 and the substrate temperature was varied from 50°C to 350°C in 50°C intervals. Precursor exposure and plasma pulse durations and purge times were identical for substrate temperatures of 50, 150, 200, 250, 300, and 350°C, except no precursor purge time was applied in the 350°C run. NEE remote plasma frequency, flow rate, and power were set at 13.56MHz, 40 seem, and 2000W, respectively. The samples were subsequently transferred to the load lock system and allowed to cool to room temperature in a N2 atmosphere prior to removal from the Picosun system.
[0064] For XPS analysis, the SiNx samples were capped with an approximately 10-15 nm-thick zinc oxide (ZnOx) layer to prevent surface contamination during transport and handling. The ALD ZnOx process employed 100 cycles of the reaction of diethyl-zinc (DEZ) as the Zn source and water as the oxygen source. ZnOx was grown in-situ immediately following and at the same temperature as the SiNx deposition, except in the case of the 50°C sample, in which the temperature was increased to 150°C for the ZnOx deposition. The process consisted of a four-steps: 0.1 sec DEZ pulse, 5 secs N2 purge, 0.1 sec water vapor pulse, 5 secs N2 purge.
Analytical Techniques
[0065] In-situ, real-time, angle-resolved ellipsometry was performed using a Woollam iSE ellipsometer at wavelengths ranging from 400 to lOOOnm. The ellipsometer system was mounted directly on the P-CVD (pulsed-CVD) reaction chamber, with the incident light beam being directed onto the substrate through a quartz glass window at an incident angle of 60.8°, and the reflected light beam being captured by a detector. The resulting data was analyzed using CompleteEASE
software. The substrate was modelled as a ~1 OOOnm-thick thermal S1O2 layer on Si. The thickness of the S1O2 layer was measured in-situ prior to every P-CVD run.
[0066] XPS was carried out at Eurofms EAG Materials Science, LLC on a PHI Quantum 2000 system. X-rays were generated from a monochromated Alka source at 1486.6eV and directed at the sample at a ±23° acceptance angle and 45° take-off angle. In-depth compositional analysis was performed with an Ar+ ion gun at 2keV, 4mmx2mm raster, and 3.8nm/min sputter rate. No deconvolution was applied to the data since the Si, N, C, and O peaks were well separated from each other. All data processing (integration) was performed using CasaXPS software from Casa Software Ltd. Montage plots were generated using MultiPak software, produced by Ulvac-phi, Inc. Depth profile plots were produced using Microcal Origin, manufactured by Microcal Software, Inc. High-resolution XPS peaks assignments were performed following the calibration procedure described in ISO 15472:2010 “Surface chemical analysis - X-ray photoelectron spectrometers - Calibration of energy scales.
[0067] Wet etch studies were conducted using an IC industry standard solution consisting of 0.5% hydrofluoric acid (HF) in deionized water at room temperature.
Precursor Analysis
[0068] One additional advantage of l,3,5-tri(isopropyl)cyclotrisilazane (TICZ, C9H27N3S13) is that it was generated at high yield and purity from readily available starting materials, as described above. This ensures its suitability for high volume manufacturing. Pertinent properties of TICZ are displayed in Table I and its vapor pressure versus temperature parameters are shown in Fig. 1. It should be noted that the precursor synthesis recipe described earlier can also produce other analogs, such as l,3,5-tri(ethyl)cyclotrisilazane and l,3,5-tri(t-butyl)cyclotrisilazane, which have different volatility and deposition characteristics.
Table I. Chemical Structure and Properties of TICZ
Ellipsometry Analysis
[0069] Fig. 3 depicts in-situ, real-time ellipsometry profiles of film thickness versus duration of deposition for films grown at 200°C substrate temperature for TICZ pulse times of 0.4 sec, 1.0 sec, 2.0 secs, 3.0 secs, and 5.0 secs. As shown in the profiles, film thickness continues to increase with precursor pulse time and does not saturate, regardless of precursor pulse time. This behavior shows that the TICZ adsorption step is not self-limiting and supports the assertion that the growth of SiNx films does not occur through an ALD process. The same film thickness dependence on pulse time was observed within the entire substrate temperature range investigated, from 50°C to 350°C, indicating that film formation does not occur through an ALD growth mode within all the process windows investigated.
[0070] Similarly, Figs. 4 and 5 display plots of in-situ, real-time, ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 150, 175, 200, 225, 300, and 350°C. As expected, Fig. 4 shows that film thickness increases with longer deposition time. However, a gradual decrease in the slope of each film thickness curve is also observed with higher substrate temperature. This decrease is indicative of a reduction in growth rate per cycle (GPC) with the rise in substrate temperature. It is suggested that the decline in GPC is attributed to a
reduction in precursor partial vapor pressure in the reaction zone in proximity of the substrate. This decrease is believed to be possibly due to the geometry of the deposition chamber, which induces additional heating at the point of entry of the precursor into the reactor with increased substrate temperature, leading to some precursor decomposition prior to reaching the reaction zone. Alternatively, the decrease could be caused by a higher frequency of recombination of precursor species and associated ligands with the rise in thermal budget, and their subsequent desorption from the substrate surface, thus restricting the TICZ and NFb reaction rate.
[0071] Furthermore, Fig. 5 shows that film formation occurs instantaneously in the first deposition cycle without the manifestation of an incubation period or delay in film nucleation and growth, in contrast to a number of prior reports in the literature for SiNx produced from ALD and CVD. This feature is important as it negates the requirement for substrate surface pre-treatments, thus eliminating added complexity and cost in the incorporation of SiNx deposition in heterodevice structures manufacturing process flows. In addition, Table II presents ellipsometry-derived values for film thickness, growth rate per cycle (GPC), and index of refraction for as-deposited SiNx films as a function of substrate temperature.
Table II. Selected Properties of SiNx, as Determined by Ellipsometry, XPS, and Wet etching
XPS Analysis
[0O72| Selected film thicknesses were also confirmed by XPS depth profile analyses. Zn, Si, N, C, and O concentrations versus penetration depth in SiNx films were assessed by XPS depth profile analyses, as shown in Figs. 6 and 7 for as-deposited SiNx films grown at substrate temperatures of 200°C and 300°C, respectively.
[00731 The measurements yielded decreasing C concentrations of ~42 at% and ~15 at% for films grown at 50°C and 150°C substrate temperatures, respectively. The value declined to below
the detection limits of XPS above 200°C substrate temperature, as can be seen in Fig. 6. The XPS results therefore indicate that 200°C provides the minimum thermal budget required for efficient reaction of TICZ and ME, leading to complete precursor dissociation, and removal of reaction byproducts from the deposition zone. Similarly, O concentrations of ~11 at% and ~6 at% were recorded for films grown at 50°C and 150°C substrate temperatures, respectively. The value declined to ~5 at% above 200°C substrate temperature, as shown in Fig. 6. Oxygen inclusion is attributed to O diffusion during the in-situ P-CVD zinc oxide (ZNOx) capping layer deposition step. [0074] Table III provides representative SiNx atomic concentrations within the bulk of films grown at 200 °C, 250 °C, and 300°C at a depth of ~25nm. The data in Table III, as well as Figs. 6 and 7, demonstrate that samples deposited above 200°C consisted of Si:N ratio of ~1:1.
|(1075] High-resolution XPS spectra for Si2p, Nls, Cls, and Ols binding energies versus penetration depth are displayed in Figs. 8 and 9 for SiNx films deposited at 200°C and 300°C, respectively. The data demonstrate that both sets of films consisted of a SiN phase with a low concentration of O and practically no C inclusion. It should be noted that the Nls spectra contained a main peak attributed to N(-Si)3, which is due to Si-N bonding, nitride and a minor peak attributed to 0-N(-Si)2, which is associated with silicon oxynitride (SixNyOz).
Table III. Representative SiNx Atomic concentrations (in at%) Within the Bulk of Films
Grown at 200, 250, and 300°C
Wet Etch Rate
|0076] Wet etch studies were conducted using an IC industry standard solution consisting of 0.5% hydrofluoric acid (HF) in deionized water. The results are summarized in Table II. The wet etch rates observed for films deposited at 300°C are competitive with those reported in prior art including, for example, for LPCVD films grown at 770°C and PE-ALD films deposited at 250°C etched in a milder etch solution consisting of 1:300 HF:H20 solution; and PE-ALD films grown between 270 and 350°C and treated in a more diluted wet etch solution consisting of 1:500 HF:H20.
Example 2: Formation of SiCxNv Thin Film Experimental Deposition Conditions
[0077| All experiments were performed in the same Picosun R-200 R&D reactor described earlier. SiCxNy film growth experiments were conducted in two stages. In a first screening stage, systematic scoping experiments were performed to establish optimized run parameters, including process working pressure, remote MH plasma power, and precursor, NH3, and N2 flow rates, as well as the length of the pre-deposition plasma treatment step, and the duration of the TICZ, N2 purge, and remote NH3 plasma pulse steps. Once this stage was completed and appropriate experimental parameter sets were identified, a second process optimization stage was implemented to determine SiCxNy compositional, physical, and chemical properties as a function of substrate temperature in the range of 30 °C to 200 °C. For this stage, the NFb flow rate was kept constant at 40 seem, while remote plasma power and frequency were set at 2000 W and 13.56 MHz, respectively. Table IV summarizes the key run parameters.
TABLE IV. Key Processing Parameters for Soft Remote Plasma SiCxNy Deposition
Processing Parameter Value Description
Substrate Temperature 30-200 °C 30, 50, 60, 90, 120,150, TICZ Pulse Duration 0.4 s 170, 200
(N2 carrier gas @100 seem)
N2 Purge 2.0 s @100 seem
NEE Remote Plasma Pulse 10.0 s @40 seem, 2000 W N2 Purge 3.0 s @100 seem
ZnOx Capping Layer Standard ALD Process 0.1 s DEZ pulse, 5 s N2 Diethyl Zinc (DEZ) and purge, H2O 0.1 s H2O pulse, 5 s N2
(10-15 nm thick) purge.
[0078| The SiCxNy growth runs were followed in-situ with the deposition of an approximately 10 to 15 nm -thick zinc oxide (ZnOx) capping layer to prevent SiCxNy contamination upon exposure to air and during subsequent transport and handling. For the ZnOx step, a standard ALD process was employed. The substrate temperature was maintained at the same value as the SiCxNy deposition step, except in the case of the 50 °C SiCxNy film, for which the temperature was increased to 150 °C for the ZnOx growth step. The ALD ZnOx process employed the reaction of diethyl zinc (DEZ) and water (H2O) as the Zn and O sources, respectively: it involved a 0.1 s DEZ pulse and 0.1 s H2O vapor pulse, separated by 5 s N2 purge each.
[00791 At the conclusion of each deposition run, the samples were transferred back into the load lock system and kept under a N2 atmosphere until they cooled down to room temperature prior to removal from the Picosun system.
Analytical Techniques
[0080] To study the composition and chemical bonding characteristics of the SiCxNy films, the same analytical techniques were employed as previously described.
XPS Analysis
|0081] Table V presents representative atomic concentration percentages of Si, C, N, and O in films deposited at 50, 150, and 200 °C. The values were determined by quantitative XPS analysis, as shown in Figures 10 and 11 for SiCxNy samples deposited at 50 and 150 °C, respectively.
Table V. Representative Atomic concentrations (in at% rounded to the nearest whole number) Within the Bulk of SiCxNy Films Grown at Various Substrate Temperatures
Substrate Temperature Depth in Si C N O
(°C) Sample (nm)
ΊΪ0 ~25 ~27 ~45 ~18 -10
150 ~25 ~43 ~17 ~37 ~3
200 ~25 ~48 ~0 ~48 ~5
[00821 Oxygen content in the films was ~10 at% at 50 °C and decreased to 3-5 at% at higher temperatures. The presence of this small concentration of O could be due to FbO reaction with SiCxNy during the subsequent in-situ ALD ZnOx capping layer step. It could also result from impurities in NFb or N2 and/or the well-known issue of plasma etching of the AI2O3 dielectric liners that are employed in ICP plasma sources. A gradual decrease in C concentration was also observed at higher substrate temperature, while the N content exhibited a steady increase, as seen in Table V and in Figs. 10 and 11.
10083] These trends are consistent with the observation that the combination of reduced substrate thermal budget and soft remote plasma provides an optimum low energy environment for the alkyl groups to undergo a gradual and controlled dissociation reaction from the parent molecule;
a rise in substrate temperature provides increased thermal activation energy for a higher degree of bond dissociation as well as Si, C, and N bond re-distribution within the SiCxNy film.
[0084] High-resolution XPS core level spectra for Si 2p, N Is, C Is, and O ls binding energies versus penetration depth in SiCxNy were also compiled for the samples deposited at 50 and 150 °C, respectively, as shown in Figs. 12 and 13. Based on the XPS analysis, the location of the Si, N, C, and O core levels peaks appears to be independent of film composition and process thermal budget. More specifically, within the 30 to 150 °C substrate temperature window investigated, the C Is peak location at -283.3 eV is attributed to C-Si bonds, while the N Is peak position at -397.3 eV is due to N-Si bonds, regardless of the substrate temperature used. Concurrently, the Si 2p peak is composed of contributions from Si-C bonds at -100.4 eV and Si-N bonds at -101.7 eV, with perhaps a negligible contribution from Si-0 bonds at 103 eV.
[0085] The high-resolution XPS analysis seems to indicate that the SiCxNy films consist predominantly of a matrix of simple cross-linked Si-C and Si-N bonds for substrate temperatures <150 °C. No C is observed in the films within XPS detection limits at 200 °C, with the Si 2p and N Is peaks corresponding to a SiN phase.
[0086[ This results are radically different from previously described films. In the latter, an evolution was reported that the Cl s peak in SiCxNy underwent a transition from C-C to C-Si to C-N type bonds as function of increased substrate temperature, while the N Is peak exhibited a transition from N-C to predominantly N-Si bonding with some contribution from N-C type bonds. Concurrently, the Si 2p were reported to evolve from Si-N, Si-O, and Si-C type bonding to mainly Si-Si bonds, along with Si-N and Si-C bonds. These results were attributed to the presence of various temperature-dependent complex bonding configurations in previously described SiCxNy films. Unlike previously reported films, the simple Si-C and Si-N bonds in the inventive SiCxNy films, with no changes in the bonding configuration or chemical structure as function of temperature, provide a stable and consistent SiCxNy matrix for applications that require thermally fragile, chemically sensitive substrates, including plastics and polymers.
[0087] The results described and demonstrated herein are different from prior findings in the literature, e.g., atmospheric pressure plasma CVD (AP-PECVD) from triethylsilane (HSiEt3, TES) and Nz as Si, C, and N sources. In the latter, it was reported that the C Is peak indicated an evolution from C-C to C-Si to C-N type bonds, while the N Is peak exhibited a transition from N-C to predominantly N-Si bonding with some contribution from N-C type bonds. Concurrently, the Si 2p were reported to evolve from Si-N, Si-O, and Si-C type bonding to mainly Si-Si bonds, along
with Si-N and Si-C bonds. These results were attributed to the presence of various temperature- dependent complex bonding configurations in a-SiCN films, as described in a number of other reports by various researchers.
[0088| XPS analysis therefore supports the assertion that applying a soft remote plasma in concert with a reduced substrate thermal budget in a pulsed mode where the N-alkyl substituted perhydridocyclotrisilazane precursor and NH3 co-reactant are directed to react only on the substrate surface does yield: (i) a SiCxNy matrix with a temperature-independent bonding configuration of simple cross-linked Si-C and Si-N bonds; and (ii) a gradual and controlled decrease in C content with increasing substrate temperature.
Ellipsometry Analysis
[0089] In-situ, real-time ellipsometry studies were implemented for the adsorption and reaction pathways of the TICZ source precursor and NFL remote plasma, as well as the resulting SiCxNy film nucleation and growth profiles, in order to determine the nature and characteristics of the pulsed deposition process. To this end, Figure 14 displays SiCxNy film thickness as a function of deposition time for TICZ pulse times of 0.1, 0.2, 0.4, and 0.8 s. Substrate temperature was maintained at 150 °C in all runs. The data indicate that film thickness exhibits a steady rise with higher TICZ pulse durations and does not reach a plateau at which it ceases to increase, as would have been expected in an ALD process. It should be noted that this trend is observed across the entire substrate temperature window investigated, indicating that the pulsed deposition of SiCxNy does occur in a P-CVD rather than an ALD regime. One advantage of the plasma pulsed mode is the potential partial decomposition of the parent TICZ precursor upon adsorption to the substrate surface and prior to reaction with NFL, a feature that is conducive to film deposition in a lower thermal budget window.
[0090] Similarly, Fig. 15 provides in-situ, real-time, angle-resolved ellipsometry measurements of film thickness versus deposition time for substrate temperatures of 30, 60, 90, 120, 150, and 170 °C. The plots show that film nucleation and growth occur instantaneously, as indicated by the immediate rise in film thickness within the first deposition cycle. This feature is important, as it demonstrates the absence of an incubation period prior to the onset of SiCxNy film formation, as has been reported in the literature for other ALD and P-CVD work. The absence of such an incubation period makes plasma pulsed SiCxNy more attractive from a manufacturing perspective by
eliminating ex-situ or in-situ pre-deposition substrate surface treatments, thereby resulting in a reduction in the number of process steps required to grow SiCxNy thin films.
[0091] Additionally, Fig.15 shows a gradual decrease in the slope of the film thickness curves, and thus the SiCxNy growth rate per cycle, with increasing substrate temperature. The reduction in GPC at higher substrate temperatures could potentially be caused by: (i) a decrease in TICZ partial vapor pressure in proximity to the substrate due to the geometry of the reactor, which induces a gradual rise in precursor decomposition at its point of entry into the chamber and prior to reaching the substrate, and/or (ii) a higher rate of desorption of the precursor and associated moieties from the substrate surface prior to the NEE co-reactant step due to the rise in thermal budget. Finally, ex-situ ellipsometry measurements yielded refractive indices of -1.49, -1.51, and -1.80 for the films deposited at 50, 150, and 200 °C, respectively.
Wet Etch Rates
[0O92j Wet etch rate (WER) studies produced values of 2310, 732, and 99 nm/min for the films grown at 50, 150, and 200 °C, respectively.
[0093] It will be appreciated by those skilled in the art that changes could be made to the embodiments described above without departing from the broad inventive concept thereof. It is understood, therefore, that this invention is not limited to the particular embodiments disclosed, but it is intended to cover modifications within the spirit and scope of the present invention as defined by the appended claims.
Claims
1. A method for deposition of a silicon nitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon nitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone via a purge step with an inert gas and/or the vacuum.
2. The method according to claim 1, further comprising after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, removing unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof from the reaction zone through a second purge step with an inert gas and/or the vacuum.
3. The method according to claim 1, wherein the substrate temperature is about 200°C to about 350°C.
4. The method according to claim 1, wherein the N-alkyl substituted perhydridocyclotrisilazane is l,3,5-tri(isopropyl)cyclotrisilazane.
5. The method according to claim 1, wherein the nitrogen-containing reactant comprises NIL, Nz, a mixture of N2 and Lb, methylamine, and/or hydrazine.
6. The method according to claim 1, wherein the single cycle is repeated until a thin film of a predetermined thickness is achieved.
7. The method according to claim 1, wherein the substrate surface-induced process is energy transfer, remote plasma application, direct plasma application, oxidation, and/or reduction.
8. A method for deposition of a silicon oxide thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of an oxygen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon oxide thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
9. The method according to claim 8, further comprising after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, removing unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof from the reaction zone through a second purge step with an inert gas and/or the vacuum.
10. The method according to claim 8, wherein the substrate temperature is about 200°C to about 350°C.
11. The method according to claim 8, wherein the N-alkyl substituted perhydridocyclotrisilazane is l,3,5-tri(isopropyl)cyclotrisilazane.
12. The method according to claim 8, wherein the oxygen-containing reactant is ozone, water, and/or O2 plasma.
13. The method according to claim 8, wherein the single cycle is repeated until a thin film of a predetermined thickness is achieved.
14. The method according to claim 8, wherein the substrate surface-induced process is energy transfer, remote plasma application, direct plasma application, oxidation, and/or reduction.
15. A method for deposition of a silicon carbonitride thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of room temperature to about 200°C; maintaining the substrate at room temperature to about 200°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a nitrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon carbonitride thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
16. The method according to claim 15, further comprising after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, removing unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof from the reaction zone through a second purge step with an inert gas and/or the vacuum.
17. The method according to claim 15, wherein the N-alkyl substituted perhydridocyclotrisilazane is l,3,5-tri(isopropyl)cyclotrisilazane.
18. The method according to claim 15, wherein the nitrogen-containing reactant comprises NTb, N2, a mixture of N2 and H2, methylamine, and/or hydrazine.
19. The method according to claim 15, wherein the single cycle is repeated until a thin film of a predetermined thickness is achieved.
20. The method according to claim 15, wherein the substrate surface-induced process is energy transfer, remote plasma application, direct plasma application, oxidation, and/or reduction.
21. A method for deposition of a silicon thin film onto a substrate in a reaction zone of a deposition chamber, the method comprising, in a single cycle: heating a substrate to a temperature of about 200°C to about 650°C; maintaining the substrate at about 200°C to about 650°C; providing a precursor comprising a N-alkyl substituted perhydridocyclotrisilazane in the vapor phase with a carrier gas and/or under vacuum to the reaction zone containing the substrate; forming a monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface; and exposing the adsorbed monolayer on the substrate in the reaction zone to a remote or direct soft plasma of a hydrogen-containing reactant; wherein the adsorbed N-alkyl substituted perhydridocyclotrisilazane monolayer reacts with the soft plasma and undergoes conversion to a discrete atomic or molecular layer of a silicon thin film via dissociation and/or decomposition due to or enabled by a substrate surface-induced process; and wherein byproducts of the conversion are removed from the reaction zone by an inert gas through a purge step and/or the vacuum.
22. The method according to claim 21, further comprising after forming the monolayer of the N-alkyl substituted perhydridocyclotrisilazane by adsorption to the substrate surface, removing
unreacted N-alkyl substituted perhydridocyclotrisilazane and byproducts thereof from the reaction zone through a second purge step with an inert gas and/or the vacuum.
23. The method according to claim 21, wherein the substrate temperature is about 200°C to about 350°C.
24. The method according to claim 21, wherein the N-alkyl substituted perhydridocyclotrisilazane is l,3,5-tri(isopropyl)cyclotrisilazane.
25. The method according to claim 21, wherein the single cycle is repeated until a thin film of a predetermined thickness is achieved.
26. The method according to claim 21, wherein the substrate surface-induced process is energy transfer, remote plasma application, direct plasma application, oxidation, and/or reduction.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063030684P | 2020-05-27 | 2020-05-27 | |
PCT/US2021/034322 WO2021242902A1 (en) | 2020-05-27 | 2021-05-26 | Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanes |
Publications (1)
Publication Number | Publication Date |
---|---|
EP4158078A1 true EP4158078A1 (en) | 2023-04-05 |
Family
ID=76502871
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
EP21733325.1A Pending EP4158078A1 (en) | 2020-05-27 | 2021-05-26 | Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanes |
Country Status (7)
Country | Link |
---|---|
US (2) | US12065737B2 (en) |
EP (1) | EP4158078A1 (en) |
JP (1) | JP2023527976A (en) |
KR (1) | KR20230016215A (en) |
CN (1) | CN115917037A (en) |
TW (1) | TWI820427B (en) |
WO (1) | WO2021242902A1 (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20230051200A1 (en) * | 2021-08-11 | 2023-02-16 | Applied Materials, Inc. | Seam-free gapfill deposition |
Family Cites Families (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4200666A (en) | 1978-08-02 | 1980-04-29 | Texas Instruments Incorporated | Single component monomer for silicon nitride deposition |
US4595775A (en) | 1984-04-06 | 1986-06-17 | Petrarch Systems, Inc. | N-methylhydridosilazanes, polymers thereof, methods of making same and silicon nitrides produced therefrom |
US5008422A (en) | 1985-04-26 | 1991-04-16 | Sri International | Polysilazanes and related compositions, processes and uses |
US5190792A (en) | 1989-09-27 | 1993-03-02 | International Business Machines Corporation | High-throughput, low-temperature process for depositing oxides |
US5451260A (en) | 1994-04-15 | 1995-09-19 | Cornell Research Foundation, Inc. | Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle |
US6518168B1 (en) | 1995-08-18 | 2003-02-11 | President And Fellows Of Harvard College | Self-assembled monolayer directed patterning of surfaces |
JP2003522826A (en) | 1997-12-02 | 2003-07-29 | ゲレスト インコーポレーテツド | Silicon base film formed from iodosilane precursor and method of manufacturing the same |
ATE366952T1 (en) | 1999-06-01 | 2007-08-15 | Toray Industries | POSITIVE WORKING LIGHT SENSITIVE POLYIMIDE PRECURSOR COMPOSITION |
US6527855B2 (en) | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US6346477B1 (en) | 2001-01-09 | 2002-02-12 | Research Foundation Of Suny - New York | Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt |
US7491634B2 (en) | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
JP2003055294A (en) | 2001-08-10 | 2003-02-26 | Tanaka Kikinzoku Kogyo Kk | Raw material compound for CVD, method for producing the same, and method for chemical vapor deposition of ruthenium or ruthenium compound thin film |
US6800134B2 (en) | 2002-03-26 | 2004-10-05 | Micron Technology, Inc. | Chemical vapor deposition methods and atomic layer deposition methods |
US20060019029A1 (en) | 2004-07-20 | 2006-01-26 | Hamer Kevin T | Atomic layer deposition methods and apparatus |
KR100587687B1 (en) | 2004-07-27 | 2006-06-08 | 삼성전자주식회사 | Thin film formation method using atomic layer deposition method and apparatus therefor |
TWI282363B (en) | 2005-05-19 | 2007-06-11 | Epoch Material Co Ltd | Aqueous cleaning composition for semiconductor copper processing |
JP2007049128A (en) | 2005-07-12 | 2007-02-22 | Seiko Epson Corp | Film forming equipment |
JP4975414B2 (en) | 2005-11-16 | 2012-07-11 | エーエスエム インターナショナル エヌ.ヴェー. | Method for film deposition by CVD or ALD |
US20070251446A1 (en) | 2006-03-24 | 2007-11-01 | Chevron U.S.A. Inc. | Chemically attached diamondoids for CVD diamond film nucleation |
US8580034B2 (en) * | 2006-03-31 | 2013-11-12 | Tokyo Electron Limited | Low-temperature dielectric formation for devices with strained germanium-containing channels |
US20090305504A1 (en) | 2006-07-21 | 2009-12-10 | Ce Ma | Single precursors for atomic layer deposition |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US10041169B2 (en) * | 2008-05-27 | 2018-08-07 | Picosun Oy | System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
TW201014926A (en) | 2008-10-15 | 2010-04-16 | Nat Univ Tsing Hua | Method for producing metallic oxide film having high dielectric constant |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
JP5874230B2 (en) | 2010-08-27 | 2016-03-02 | 東ソー株式会社 | Sealing film material, sealing film and application |
US8586479B2 (en) | 2012-01-23 | 2013-11-19 | Applied Materials, Inc. | Methods for forming a contact metal layer in semiconductor devices |
DE102013101508B4 (en) | 2012-02-20 | 2024-10-02 | Denso Corporation | Data communication authentication system for a vehicle and network coupling device for a vehicle |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
CN104919077B (en) | 2013-01-14 | 2017-08-29 | 加州理工学院 | Method and system for graphene formation |
US9865501B2 (en) | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9994954B2 (en) | 2013-07-26 | 2018-06-12 | Versum Materials Us, Llc | Volatile dihydropyrazinly and dihydropyrazine metal complexes |
US20150125122A1 (en) | 2013-11-03 | 2015-05-07 | Tyson York Winarski | Graphene coated fiber optics |
US10023958B2 (en) | 2013-11-22 | 2018-07-17 | Applied Materials, Inc. | Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors |
JP6267800B2 (en) | 2014-01-08 | 2018-01-24 | ディーエヌエフ カンパニー リミテッドDNF Co. Ltd. | Novel cyclodisilazane derivative, production method thereof, and silicon-containing thin film using the same |
US9478414B2 (en) | 2014-09-26 | 2016-10-25 | Asm Ip Holding B.V. | Method for hydrophobization of surface of silicon-containing film by ALD |
US11001599B2 (en) | 2015-03-23 | 2021-05-11 | Gelest Technologies, Inc. | N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom |
US9711350B2 (en) | 2015-06-03 | 2017-07-18 | Asm Ip Holding B.V. | Methods for semiconductor passivation by nitridation |
US9673042B2 (en) | 2015-09-01 | 2017-06-06 | Applied Materials, Inc. | Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers |
US10316406B2 (en) | 2015-10-21 | 2019-06-11 | Ultratech, Inc. | Methods of forming an ALD-inhibiting layer using a self-assembled monolayer |
TWI715645B (en) | 2015-10-22 | 2021-01-11 | 美商應用材料股份有限公司 | Deposition of conformal and gap-fill amorphous silicon thin-films |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
KR102014175B1 (en) * | 2016-07-22 | 2019-08-27 | (주)디엔에프 | The manufacturing method of the silicon nitride film by using plasma enhanced atomic layer deposition |
US10290540B2 (en) | 2016-11-01 | 2019-05-14 | Versum Materials Us, Llc | Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof |
US11017997B2 (en) * | 2017-01-13 | 2021-05-25 | Applied Materials, Inc. | Methods and apparatus for low temperature silicon nitride films |
US10242879B2 (en) | 2017-04-20 | 2019-03-26 | Lam Research Corporation | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
US11177127B2 (en) * | 2017-05-24 | 2021-11-16 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US20210384029A1 (en) * | 2018-04-09 | 2021-12-09 | Lam Research Corporation | Modifying hydrophobicity of a wafer surface using an organosilicon precursor |
US10604844B2 (en) | 2018-05-14 | 2020-03-31 | Purdue Research Foundation | Graphene production using plasma-enhanced chemical vapor deposition |
-
2021
- 2021-05-26 EP EP21733325.1A patent/EP4158078A1/en active Pending
- 2021-05-26 WO PCT/US2021/034322 patent/WO2021242902A1/en unknown
- 2021-05-26 KR KR1020227045412A patent/KR20230016215A/en not_active Application Discontinuation
- 2021-05-26 CN CN202180038469.2A patent/CN115917037A/en active Pending
- 2021-05-26 US US17/331,206 patent/US12065737B2/en active Active
- 2021-05-26 JP JP2022572442A patent/JP2023527976A/en active Pending
- 2021-05-27 TW TW110119293A patent/TWI820427B/en active
-
2024
- 2024-04-12 US US18/634,054 patent/US20240279806A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20240279806A1 (en) | 2024-08-22 |
JP2023527976A (en) | 2023-07-03 |
US20210371981A1 (en) | 2021-12-02 |
US12065737B2 (en) | 2024-08-20 |
TWI820427B (en) | 2023-11-01 |
WO2021242902A1 (en) | 2021-12-02 |
CN115917037A (en) | 2023-04-04 |
KR20230016215A (en) | 2023-02-01 |
TW202144607A (en) | 2021-12-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI857952B (en) | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode | |
EP3254303B1 (en) | Method for formation of carbon doped silicon containing films | |
KR102256536B1 (en) | Methods for depositing silicon nitride films | |
EP3347504B1 (en) | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films | |
US11626279B2 (en) | Compositions and methods for making silicon containing films | |
KR101070953B1 (en) | Dielectric films comprising silicon and methods for making same | |
Ovanesyan et al. | Low-temperature conformal atomic layer deposition of SiN x films using Si2Cl6 and NH3 plasma | |
US8025932B2 (en) | Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition | |
US20240279806A1 (en) | Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanes | |
CN108474114A (en) | Method for depositing conformal metal or metalloid silicon nitride films | |
TW202035430A (en) | Compositions and methods using same for silicon containing films | |
CN112805405A (en) | Method for producing silicon-and nitrogen-containing film | |
Arkles et al. | The low-temperature remote-plasma-activated pulsed chemical vapor deposition route to SiNx from 1, 3, 5-tri (isopropyl) cyclotrisilazane | |
CN112969818A (en) | Method for producing silicon-and nitrogen-containing film | |
EP4176100A1 (en) | Compositions and methods using same for germanium seed layer | |
US20110206862A1 (en) | Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors | |
Sarkar et al. | Plasma-enhanced ALD of TiO2 using a novel cyclopentadienyl alkylamido precursor [Ti (CpMe)(NMe2) 3] and O2 plasma | |
WO2019209289A1 (en) | Low temperature molybdenum film depositon utilizing boron nucleation layers | |
JP7425744B2 (en) | Low-temperature molybdenum film deposition using boron nucleation layer | |
TW202414533A (en) | In-situ production of h2s or h2se during growth of 2d transition metal disulfide and/or diselenide films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: UNKNOWN |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE |
|
PUAI | Public reference made under article 153(3) epc to a published international application that has entered the european phase |
Free format text: ORIGINAL CODE: 0009012 |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE |
|
17P | Request for examination filed |
Effective date: 20221222 |
|
AK | Designated contracting states |
Kind code of ref document: A1 Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR |
|
DAV | Request for validation of the european patent (deleted) | ||
DAX | Request for extension of the european patent (deleted) |