Nothing Special   »   [go: up one dir, main page]

DE102018124815B4 - FIN field effect transistor device and method - Google Patents

FIN field effect transistor device and method Download PDF

Info

Publication number
DE102018124815B4
DE102018124815B4 DE102018124815.6A DE102018124815A DE102018124815B4 DE 102018124815 B4 DE102018124815 B4 DE 102018124815B4 DE 102018124815 A DE102018124815 A DE 102018124815A DE 102018124815 B4 DE102018124815 B4 DE 102018124815B4
Authority
DE
Germany
Prior art keywords
fin
spacer layer
over
region
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018124815.6A
Other languages
German (de)
Other versions
DE102018124815A1 (en
Inventor
Wei-Ken LIN
Chun Te Li
Chih-Peng Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/967,295 external-priority patent/US10340384B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018124815A1 publication Critical patent/DE102018124815A1/en
Application granted granted Critical
Publication of DE102018124815B4 publication Critical patent/DE102018124815B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Verfahren, das Folgendes umfasst:Bilden einer ersten Finne (64), die über einem Substrat (50) vorragt, wobei die erste Finne (64) einen PMOS-Bereich (300) aufweist;Bilden einer ersten Gate-Struktur (75B) über der ersten Finne (64) in dem PMOS-Bereich (300);Bilden einer ersten Abstandsschicht (86) über der ersten Finne (64) und der ersten Gate-Struktur (75B);Bilden einer zweiten Abstandsschicht (84) über der ersten Abstandsschicht (86);Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht (84) von einer oberen Oberfläche und Seitenwänden der ersten Finne (64) in dem PMOS-Bereich (300);Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht (86) von der oberen Oberfläche und den Seitenwänden der ersten Finne (64) in dem PMOS-Bereich (300); undepitaktisches Aufwachsen eines ersten Source-/Drain-Materials (80B) über der ersten Finne (64) in dem PMOS-Bereich (300), wobei sich das erste Source-/Drain-Material (80) entlang der oberen Oberfläche (64U) und der Seitenwände der ersten Finne (64) in dem PMOS-Bereich (300) erstreckt; wobei die erste Finne (64) weiter einen NMOS-Bereich (200) hat, wobei das Verfahren weiter Folgendes umfasst:Bilden einer zweiten Gate-Struktur (75A) über der ersten Finne (64) in dem NMOS-Bereich (200), wobei die erste Abstandsschicht (86) und die zweite Abstandsschicht (84) über der zweiten Gate-Struktur (75A) gebildet werden;Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich (200) vor dem Ausführen des ersten Ätzprozesses abzudecken; undEntfernen der strukturierten Maske nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials (80B).A method, comprising:forming a first fin (64) overhanging a substrate (50), the first fin (64) having a PMOS region (300);forming a first gate structure (75B) over the first fin (64) in the PMOS region (300);forming a first spacer layer (86) over the first fin (64) and the first gate structure (75B);forming a second spacer layer (84) over the first spacer layer ( 86);performing a first etch process to remove the second spacer layer (84) from a top surface and sidewalls of the first fin (64) in the PMOS region (300);performing a second etch process to remove the first spacer layer (86) from the top surface and sidewalls of the first fin (64) in the PMOS region (300); andepitaxially growing a first source/drain material (80B) over the first fin (64) in the PMOS region (300), the first source/drain material (80) along the top surface (64U) and the sidewalls of the first fin (64) extend in the PMOS region (300); the first fin (64) further having an NMOS region (200), the method further comprising:forming a second gate structure (75A) over the first fin (64) in the NMOS region (200), wherein the first spacer layer (86) and the second spacer layer (84) are formed over the second gate structure (75A);forming a patterned mask layer to cover the NMOS region (200) prior to performing the first etch process; andremoving the patterned mask after epitaxially growing the first source/drain material (80B).

Description

ALLGEMEINER STAND DER TECHNIKBACKGROUND ART

Die Halbleiterindustrie hat schnelles Wachstum aufgrund ständiger Verbesserung der Integrationsdichte einer Vielfalt elektronischer Bauteile (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) erfahren. Meistens bestand diese Verbesserung der Integrationsdichte aus wiederholten Verringerungen der Elementmindestgröße, die es erlaubt, mehr Bauteile in einer gegebenen Fläche zu integrieren.The semiconductor industry has experienced rapid growth due to constant improvements in the integration density of a variety of electronic components (eg, transistors, diodes, resistors, capacitors, etc.). Most often, this improvement in integration density has consisted of repeated reductions in minimum feature size, allowing more devices to be integrated into a given area.

Fin-Feldeffekttransistor-(Fin Field-Effect Transistor - FinFET)-Bauteile werden geläufig in integrierten Schaltungen verwendet. FinFET-Bauteile haben eine dreidimensionale Struktur, die eine Halbleiterfinne umfasst, die aus einem Substrat vorragt. Eine Gate-Struktur, die konfiguriert ist, um den Fluss von Ladungsträgern innerhalb eines leitenden Kanals des FinFET-Bauteils zu steuern, legt sich um die Halbleiterfinne. Bei einem Dreifach-Gate-FinFET-Bauteil legt sich die Gate-Struktur um drei Seiten der Halbleiterfinne, wodurch leitende Kanäle auf drei Seiten der Halbleiterfinne gebildet werden. Eine FinFET-Halbleitervorrichtung ist bereits bekannt aus der Druckschrift US 2013 / 0 122 676 A1 . Eine weitere Halbleitervorrichtung ist bekannt aus der US 2017 / 0 338 341 A1 .Fin Field Effect Transistor (FinFET) devices are commonly used in integrated circuits. FinFET devices have a three-dimensional structure that includes a semiconductor fin protruding from a substrate. A gate structure configured to control the flow of charge carriers within a conductive channel of the FinFET device wraps around the semiconductor fin. In a triple-gate FinFET device, the gate structure wraps around three sides of the semiconductor fin, forming conductive channels on three sides of the semiconductor fin. A FinFET semiconductor device is already known from the reference U.S. 2013/0 122 676 A1 . Another semiconductor device is known from US Pat U.S. 2017/0 338 341 A1 .

Figurenlistecharacter list

Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Merkmale können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.

  • 1 veranschaulicht einen FinFET in einer perspektivischen Ansicht in Übereinstimmung mit einigen Ausführungsformen.
  • Die 2, 3A, 3B, 4A bis 4C, 5A bis 5F, 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 11C, 12A bis 12C und 13 bis 16 sind diverse Ansichten (zum Beispiel Draufsichten, Querschnittansichten) eines FinFET-Bauteils bei diversen Fertigungsstufen in Übereinstimmung mit einigen Ausführungsformen.
  • 17 veranschaulicht ein Ablaufdiagramm eines Verfahrens zum Bilden eines Halbleiterbauteils.
Aspects of the present disclosure are best understood from the following detailed description when taken in conjunction with the accompanying figures. It is emphasized that, in accordance with standard industry practice, various elements are not drawn to scale. Namely, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
  • 1 1 illustrates a FinFET in a perspective view, in accordance with some embodiments.
  • The 2 , 3A , 3B , 4A until 4C , 5A until 5F , 6A until 6C , 7A until 7C , 8A until 8C , 9A until 9C , 10A until 10C , 11A until 11C , 12A until 12C and 13 until 16 12 are various views (e.g., top views, cross-sectional views) of a FinFET device at various stages of manufacture, in accordance with some embodiments.
  • 17 1 illustrates a flow diagram of a method of forming a semiconductor device.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Merkmale der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele, und sie bezwecken nicht, einschränkend zu sein. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind.The following disclosure provides many different embodiments or examples for practicing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. For example, forming a first feature over or on top of a second feature in the following description may include embodiments in which the first and second features are formed in face-to-face contact, and may also include embodiments in which additional features are formed between the first and the second feature may be formed such that the first and second features may not be in direct contact.

Weiter können räumliche Bezugsbegriffe, wie „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den Figuren veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen des Bauteils beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den Figuren abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt.Further, spatial reference terms such as "below," "below," "lower," "above," "upper," and the like may be used herein for ease of description to indicate the relationship of one feature or features to one or more other features or features , as illustrated in the figures. The spatial reference terms may be intended to encompass different orientations of the component in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial reference descriptors used herein will be interpreted accordingly.

1 veranschaulicht ein Beispiel eines FinFET 30 in einer perspektivischen Ansicht. Der FinFET 30 weist Substrat 50 und eine Finne 64, die über dem Substrat 50 vorragt, auf. Das Substrat 50 weist Isolationsbereiche 62 auf, und die Finne 64 ragt darüber und zwischen benachbarten Isolationsbereichen 62 vor. Ein Gatedielektrikum 66 liegt entlang von Seitenwänden und über einer oberen Oberfläche der Finne 64, und ein Gate 68 liegt über dem Gate-Dielektrikum 66. Source-/Drainbereiche 80 liegen in der Finne auf gegenüberliegenden Seiten des Gates 68. 1 veranschaulicht weiter Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt B-B erstreckt sich entlang einer Längsachse des Gates 68 des FinFET 30. Der Querschnitt A-A ist senkrecht zu dem Querschnitt B-B und verläuft entlang einer Längsachse der Finne 64 und in eine Richtung zum Beispiel eines Stromflusses zwischen den Source-/Drain-Bereichen 80. Der Querschnitt C-C ist parallel zu dem Querschnitt B-B und verläuft über einem Source-/Drain-Bereich 80 des FinFET 30. Darauffolgende Figuren verweisen zur Klarheit auf diese Referenzquerschnitte. 1 illustrates an example of a FinFET 30 in a perspective view. FinFET 30 includes substrate 50 and a fin 64 protruding above substrate 50 . The substrate 50 has isolation regions 62 and the fin 64 protrudes over and between adjacent isolation regions 62 . A gate dielectric 66 overlies sidewalls and over a top surface of fin 64, and a gate 68 overlies gate dielectric 66. Source/drain regions 80 lie in the fin on opposite sides of gate 68. 1 further illustrates reference cross-sections used in later figures. Cross section BB extends along a longitudinal axis of gate 68 of FinFET 30. Cross section AA is perpendicular to cross section BB and runs along a longitudinal axis of fin 64 and in a direction, for example, of current flow between source/drain regions 80. Cross-section CC is parallel to cross-section BB and runs over a source/drain region 80 of FinFET 30. Subsequent figures refer to these reference cross-sections for clarity.

Die 2, 3A, 3B, 4A bis 4C, 5A bis 5F, 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 11C, 12A bis 12C und 13 bis 16 sind diverse Ansichten (zum Beispiel Draufsichten, Querschnittansichten) eines FinFET-Bauteils 100 bei diversen Fertigungsstufen in Übereinstimmung mit einer Ausführungsform. Das FinFET-Bauteil 100 ist dem FinFET 30 in 1 ähnlich, aber mit mehreren Finnen.The 2 , 3A , 3B , 4A until 4C , 5A until 5F , 6A until 6C , 7A until 7C , 8A until 8C , 9A until 9C , 10A until 10C , 11A until 11C , 12A until 12C and 13 until 16 10 are various views (e.g., top views, cross-sectional views) of a FinFET device 100 at various stages of manufacture, in accordance with an embodiment. The FinFET device 100 is the FinFET 30 in 1 similar but with multiple fins.

2 veranschaulicht eine Draufsicht eines Substrats 50, das zum Fertigen des FinFET-Bauteils 100 verwendet wird. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Bulk-Halbleiter, ein Halbleiter-auf-Isolator-(Semiconductor-On-Insulator - SOI)-Substrat oder dergleichen, das dotiert (zum Beispiel mit einem P-Typ- oder einem N-Typ-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine eingelassene Oxidschicht (Buried Oxid - BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat vorgesehen, typischerweise auf einem Silizium- oder Glassubstrat. Andere Substrate, wie ein mehrschichtiges oder Gradient-Substrat, können auch verwendet werden. Bei einigen Ausführungsformen kann das Halbleitersubstrat 50 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Gallium-Arsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP aufweist, oder Kombinationen davon aufweisen. 2 12 illustrates a top view of a substrate 50 used to fabricate the FinFET device 100. FIG. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor on insulator (Semiconductor-On-Insulator - SOI) substrate or the like that is doped (e.g. with a P-type or an N-type type dopant) or undoped. The substrate 50 can be a wafer, such as a silicon wafer. In general, an SOI substrate includes a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates such as a multilayer or gradient substrate can also be used. In some embodiments, the semiconductor substrate 50 may be silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP, or combinations thereof.

Wie in 2 veranschaulicht, weist das Substrat 50 einen ersten Abschnitt in einem Bereich 200 und einen zweiten Abschnitt in einem Bereich 300 auf. Der erste Abschnitt des Substrats 50 in dem Bereich 200 kann zum Bilden von N-Typ-Bauteilen, wie N-Typ-Metalloxidhalbleiter-Feldeffekttransistoren (Metal-Oxide-Semiconductor Field-Effect Transistors - MOSFETs) verwendet werden, und der zweite Abschnitt des Substrats 50 in dem Bereich 300 kann zum Bilden von P-Typ-Bauteilen, wie P-Typ-MOSFETs, verwendet werden. Der Bereich 200 kann folglich ein NMOS-Bereich des Substrats 50 genannt werden, und der Bereich 300 kann ein PMOS-Bereich des Substrats 50 genannt werden. Bei anderen Ausführungsformen werden P-Typ-Bauteile (oder N-Typ-Bauteile) sowohl in dem Bereich 200 als auch in dem Bereich 300 gebildet.As in 2 As illustrated, the substrate 50 has a first portion in a region 200 and a second portion in a region 300 . The first portion of the substrate 50 in region 200 can be used to form N-type devices such as N-type metal-oxide-semiconductor field-effect transistors (MOSFETs), and the second portion of the substrate 50 in region 300 can be used to form P-type devices such as P-type MOSFETs. The area 200 can thus be called an NMOS area of the substrate 50 and the area 300 can be called a PMOS area of the substrate 50. FIG. In other embodiments, P-type (or N-type) devices are formed in both region 200 and region 300 .

3A veranschaulicht das Substrat 50 in 2 mit einem oberen Abschnitt des Substrats 50 in dem Bereich 300, der durch ein Halbleitermaterial 50A ersetzt wird. 3B veranschaulicht eine Querschnittansicht der Struktur in 3A entlang des Querschnitts D-D. 3A illustrates the substrate 50 in 2 with an upper portion of the substrate 50 in the region 300 being replaced by a semiconductor material 50A. 3B illustrates a cross-sectional view of the structure in FIG 3A along the cross-section DD.

Unter Bezugnahme auf die 3A und 3B wird ein Abschnitt des Substrats 50 in dem Bereich 300 mit dem Halbleitermaterial 50A, wie mit einem epitaktischen Halbleitermaterial, das für das Bilden eines entsprechenden Bauteiltyps (zum Beispiel eines Bauteils P-Typ-Bauteil) in dem Bereich 300 geeignet ist, ersetzt. Das Halbleitermaterial 50Akann zum Beispiel epitaktisch aufgewachsenes Silizium-Germanium sein oder dieses umfassen. Zum Bilden des Halbleitermaterials 50A wird eine Maskenschicht (nicht gezeigt), die eine lichtempfindliche Schicht, wie ein Fotolack sein kann, über dem Substrat 50 unter Verwenden chemischer Gasphasenabscheidung (Chemical Vapor Deposition - CVD), physikalischer Gasphasenabscheidung (Physical Vapor Deposition - PVD), Rotationsbeschichten oder einem anderen zweckdienlichen Abscheidungsverfahren gebildet. Die Maskenschicht wird dann zum Beispiel unter Verwenden von Fotolithografie- und/oder Strukturierungstechniken strukturiert. Die strukturierte Maskenschicht bedeckt den Bereich 200, legt aber den Bereich 300 frei. Ein freigelegter Abschnitt des Substrats 50 in dem Bereich 300 wird dann durch einen zweckdienlichen Ätzprozess, wie reaktives Ionenätzen (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE) oder eine Kombination davon entfernt, um eine Vertiefung (nicht gezeigt) in dem Bereich 300 zu bilden.Referring to the 3A and 3B For example, a portion of substrate 50 in region 300 is replaced with semiconductor material 50A, such as an epitaxial semiconductor material suitable for forming a corresponding device type (e.g., a P-type device) in region 300. FIG. The semiconductor material 50A may be or comprise epitaxially grown silicon germanium, for example. To form the semiconductor material 50A, a mask layer (not shown), which may be a photosensitive layer such as a photoresist, is deposited over the substrate 50 using chemical vapor deposition (CVD), physical vapor deposition (PVD), Spin coating or other convenient deposition process formed. The mask layer is then patterned using, for example, photolithographic and/or patterning techniques. The patterned mask layer covers area 200 but exposes area 300 . An exposed portion of the substrate 50 in the area 300 is then removed by an appropriate etching process, such as reactive ion etching (Reactive Ion Etch - RIE), Neutral Beam Etch (NBE) or a combination thereof to form a depression (not shown) in to form the area 300.

Danach wird eine Epitaxie ausgeführt, um das Halbleitermaterial 50A in den Vertiefungen des Bereichs 300 aufzuwachsen. Das epitaktisch aufgewachsene Halbleitermaterial 50A kann in situ während des Aufwachsens dotiert werden, was die Notwendigkeit vorausgehender und anschließender Implantationen umgehen kann, obwohl In-Situ- und Implantationsdotieren gemeinsam verwendet werden können. Nach der Epitaxie kann die Maskenschicht durch einen zweckdienlichen Entfernungsprozess, wie Ätzen oder Plasmaveraschen, entfernt werden. Ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (Chemical Mechanical Polishing - CMP) kann dann ausgeführt werden, um die obere Oberfläche des Halbleitermaterials 50A mit der oberen Oberfläche des Substrats 50 abzugleichen. 3B zeigt eine Schnittfläche 63 zwischen dem Halbleitermaterial 50A und dem Substrat 50, die, wie in 3B veranschaulicht, eine gerade Linie sein kann oder nicht.Thereafter, epitaxy is performed to grow the semiconductor material 50A in the recesses of the region 300. FIG. The epitaxially grown semiconductor material 50A may be doped in situ during growth, which may obviate the need for pre- and post-implants, although in situ and implant doping may be used together. After epitaxy, the mask layer can be removed by any convenient removal process such as etching or plasma ashing. A planarization process such as chemical mechanical polishing (CMP) may then be performed to match the top surface of the semiconductor material 50A with the top surface of the substrate 50. FIG. 3B shows an interface 63 between the semiconductor material 50A and the substrate 50, which, as in FIG 3B illustrated, may or may not be a straight line.

Optional kann eine andere strukturierte Maskenschicht (nicht gezeigt) gebildet werden, um den Bereich 300 abzudecken, während der Bereich 200 freigelegt wird, und ein freigelegter Abschnitt des Substrats 50 in dem Bereich 200 kann entfernt und mit einem epitaktisch aufgewachsenen Halbleitermaterial 50B, das in 3B gestrichelt veranschaulicht ist, ersetzt werden. Eine Schnittfläche 63' kann zwischen dem Halbleitermaterial 50B (falls es gebildet wird) und dem Substrat 50 gebildet werden. Das Halbleitermaterial 50B kann ein epitaktisches Halbleitermaterial, das zum Bilden eines entsprechenden Bauteiltyps (zum Beispiel eines N-Typ-Bauteils) in dem Bereich 200 geeignet ist, sein oder umfassen. Das Halbleitermaterial 50B kann zum Beispiel epitaktisch aufgewachsenes Siliziumkarbid sein oder dieses umfassen.Optionally, another patterned mask layer (not shown) may be formed to cover region 300 while region 200 is exposed, and an exposed portion of substrate 50 in region 200 may be removed and bonded with an epitaxially grown semiconductor device material 50B, the in 3B illustrated in dashed lines can be replaced. An interface 63 ′ may be formed between the semiconductor material 50B (if formed) and the substrate 50 . Semiconductor material 50B may be or may include an epitaxial semiconductor material suitable for forming a corresponding device type (e.g., an N-type device) in region 200 . The semiconductor material 50B may be or comprise epitaxially grown silicon carbide, for example.

Bei anderen Ausführungsformen ersetzt das Halbleitermaterial 50B (zum Beispiel ein epitaktisches Halbleitermaterial) einen Abschnitt des Substrats 50 in dem Bereich 200, und ein Abschnitt des Substrats 50 in dem Bereich 300 kann optional mit dem Halbleitermaterial 50A (zum Beispiel einem epitaktischen Halbleitermaterial) ersetzt werden. Bei noch anderen Ausführungsformen werden die oben beschriebenen epitaktischen Halbleitermaterialien (zum Beispiel 50A und 50B) nicht gebildet, so dass das Verarbeiten, das in den 3A und 3B veranschaulicht ist, weggelassen werden kann. Die folgende Besprechung verwendet eine Ausführungsformkonfiguration für das Substrat 50, bei der das Halbleitermaterial 50A in dem Bereich 300 gebildet wird, und das Halbleitermaterial 50B in dem Bereich 2003 nicht gebildet wird, wobei man verstehen soll, dass das Verarbeiten, das in der vorliegenden Offenbarung veranschaulicht ist, auch an andere Substratkonfigurationen wie die beschriebenen, angewandt werden kann. In der folgenden Besprechung wird Substrat 51 verwendet, um auf das Substrat 50 und die Halbleitermaterialien 50A/50B, falls sie gebildet werden, zu verweisen.In other embodiments, semiconductor material 50B (e.g., an epitaxial semiconductor material) replaces a portion of substrate 50 in region 200, and a portion of substrate 50 in region 300 may optionally be replaced with semiconductor material 50A (e.g., an epitaxial semiconductor material). In still other embodiments, the epitaxial semiconductor materials described above (e.g., 50A and 50B) are not formed, so the processing described in FIGS 3A and 3B illustrated can be omitted. The following discussion uses an embodiment configuration for substrate 50 in which semiconductor material 50A is formed in region 300 and semiconductor material 50B is not formed in region 2003, it being understood that the processing illustrated in the present disclosure is also applicable to other substrate configurations such as those described. In the following discussion, substrate 51 will be used to refer to substrate 50 and semiconductor materials 50A/50B if formed.

Die Halbleitermaterialien .50A oder 50B (zum Beispiel epitaktische Halbleitermaterialien) können eine Gitterkonstante aufweisen, die größer als, im Wesentlichen gleich wie oder kleiner ist als die Gitterkonstante des Substrats 50. Die Gitterkonstante der Halbleitermaterialien 50A oder 50B wird durch das bzw. die Materialien bestimmt, die von den Leitfähigkeitstypen (zum Beispiel N-Typ oder P-Typ) der resultierenden FinFETs ausgewählt werden. Weiter kann es vorteilhaft sein, ein Material epitaktisch in einem NMOS-Bereich, der von dem Material in einem PMOS-Bereich unterschiedlich ist, aufzuwachsen. Bei diversen Ausführungsformen können die Halbleitermaterialien (zum Beispiel 50A, 50B) Silizium-Germanium, Siliziumkarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen umfassen. Zum Beispiel weisen die verfügbaren Materialien zum Bilden eines III-V-Verbindungshalbleiters, ohne darauf beschränkt zu sein, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen auf.The semiconductor materials 50A or 50B (e.g., epitaxial semiconductor materials) may have a lattice constant that is greater than, substantially equal to, or less than the lattice constant of the substrate 50. The lattice constant of the semiconductor materials 50A or 50B is determined by the material(s). , which are selected by the conductivity types (e.g. N-type or P-type) of the resulting FinFETs. Furthermore, it may be advantageous to epitaxially grow a material in an NMOS area that is different from the material in a PMOS area. In various embodiments, the semiconductor materials (e.g., 50A, 50B) may include silicon germanium, silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. For example, the available materials for forming a III-V compound semiconductor include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

Anschließend, wie in den 4A bis 4C veranschaulicht, wird das Substrat 51 strukturiert, um Halbleiterfinnen 64 (auch Finnen genannt) zu bilden. 4A veranschaulicht eine Draufsicht des FinFET-Bauteils 100, nachdem die Finnen 64 gebildet wurden. Die 4B und 4C veranschaulichen Querschnittansichten des FinFET-Bauteils 100 in 4A jeweils entlang Querschnitten F-F und E-E. Wie in 4A veranschaulicht, umfasst jede Finne 64 einen Abschnitt 64A in dem Bereich 2003 und einen Abschnitt 64B in dem Bereich 300. Der Abschnitt 64A und der Abschnitt 64B können in einem selben Verarbeitungsschritt (zum Beispiel einem selben Strukturierungsprozess) gebildet werden, wofür Details weiter unten unter Bezugnahme auf die 4B und 4C beschrieben sind.Subsequently, as in the 4A until 4C As illustrated, the substrate 51 is patterned to form semiconductor fins 64 (also called fins). 4A 12 illustrates a top view of FinFET device 100 after fins 64 have been formed. The 4B and 4C illustrate cross-sectional views of the FinFET device 100 in FIG 4A along cross-sections FF and EE, respectively. As in 4A As illustrated, each fin 64 includes a portion 64A in region 2003 and a portion 64B in region 300. Section 64A and section 64B may be formed in a same processing step (e.g., a same patterning process), details of which are provided by reference below on the 4B and 4C are described.

Unter Bezugnahme auf die 4B und 4C wird das Substrat 51 zum Beispiel unter Verwenden von Lithografie- und Ätztechniken strukturiert. Zum Beispiel wird eine Maskenschicht, wie eine Pad-Oxidschicht (nicht gezeigt) und eine darüberliegende Pad-Nitridschicht (nicht gezeigt), über dem Substrat 51 gebildet. Die Pad-Oxidschicht kann ein Dünnfilm sein, der Siliziumoxid umfasst, das zum Beispiel unter Verwenden eines thermischen Oxidationsprozesses gebildet wird. Die Pat-Oxidschicht kann als eine Haftschicht zwischen dem Substrat 51 und der darüber liegenden Pad-Nitridschicht wirken. Bei einigen Ausführungsformen wird die Pad-Nitridschicht aus Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Siliziumcarbonitrid oder dergleichen oder aus einer Kombination davon gebildet, und kann unter Verwenden von chemischer Niederdruck-Gasphasenabscheidung (Low-Pressure Chemical Vapor Deposition- LPCVD) oder plasmaverstärkter chemischer Gasphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition - PECVD) als Beispiele gebildet werden.Referring to the 4B and 4C For example, the substrate 51 is patterned using lithography and etching techniques. For example, a mask layer such as a pad oxide layer (not shown) and an overlying pad nitride layer (not shown) is formed over substrate 51 . The pad oxide layer may be a thin film comprising silicon oxide formed using a thermal oxidation process, for example. The pat oxide layer can act as an adhesion layer between the substrate 51 and the overlying pad nitride layer. In some embodiments, the pad nitride layer is formed of silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, or the like, or a combination thereof, and may be formed using low-pressure chemical vapor deposition (LPCVD) or plasma-enhanced chemical vapor deposition (plasma Enhanced Chemical Vapor Deposition - PECVD) as examples.

Die Maskenschicht kann unter Verwenden von Fotolithografietechniken strukturiert werden. Im Allgemeinen setzen Fotolithografietechniken einen Fotolack (nicht gezeigt) ein, der abgeschieden, bestrahlt (belichtet) und entwickelt wird, um einen Abschnitt des Fotolacks zu entfernen. Der verbleibende Fotolack schützt das darunterliegende Material, wie bei diesem Beispiel die Maskenschicht, vor darauffolgenden Verarbeitungsschritten, wie Ätzen. Bei diesem Beispiel wird der Fotolack verwendet, um die Pad-Oxidschicht und die Pad-Nitridschicht zu strukturieren, um eine strukturierte Maske 58 zu bilden. Wie in den 4B und 4C veranschaulicht, weist die strukturierte Maske 58 strukturiertes Pad-Oxid 52 und strukturiertes Pad-Nitrid 56 auf.The mask layer can be patterned using photolithography techniques. In general, photolithography techniques employ a photoresist (not shown) that is deposited, irradiated (exposed), and developed to remove a portion of the photoresist. The remaining photoresist protects the underlying material, such as the mask layer in this example, from subsequent processing steps such as etching. In this example, the photoresist is used to pattern the pad oxide layer and the pad nitride layer to form a patterned mask 58 . As in the 4B and 4C As illustrated, patterned mask 58 includes patterned pad oxide 52 and patterned pad nitride 56 .

Die strukturierte Maske 58 wird anschließend verwendet, um das Substrat 51 zu strukturieren, um Gräben 61 zu bilden, wodurch Halbleiterfinnen 64 zwischen benachbarten Gräben, wie in den 4B und 4C veranschaulicht, definiert werden. Jede Halbleiterfinne 64 hat einen Abschnitt 64A (siehe Figur .4A) in dem Bereich 2003 (zum Beispiel in einem NMOS-Bereich) und einen Abschnitt 64B (siehe 4A) in dem Bereich 300 (zum Beispiel in einem PMOS-Bereich). Der Abschnitt 64A kann verwendet werden, um zum Beispiel einen N-Typ-FinFET zu bilden, und der Abschnitt 64B kann verwendet werden, um zum Beispiel einen P-Typ-FinFET zu bilden. Bei der folgenden Besprechung kann der Abschnitt 64A der Finne 64 Finne 64A genannt werden, und der Abschnitt 64B der Finne 64 kann eine Finne 64B genannt werden.The patterned mask 58 is then used to pattern the substrate 51 to form trenches 61, thereby forming semiconductor fins 64 between adjacent trenches, as in FIGS 4B and 4C illustrated, defined. Each semiconductor fin 64 has a portion 64A (see FIG . 4A) in region 2003 (e.g., in an NMOS region) and a portion 64B (see FIG 4A ) in the region 300 (e.g. in a PMOS region). Section 64A can be used to form an N-type FinFET, for example, and section 64B can be used to form a P-type FinFET, for example. In the following discussion, portion 64A of fin 64 may be called fin 64A, and portion 64B of fin 64 may be called a fin 64B.

Bei einigen Ausführungsformen werden die Halbleiterfinnen 64 durch Ätzen von Gräben in dem Substrat 51 zum Beispiel unter Verwenden reaktiven Ionenätzens (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE), dergleichen oder einer Kombination davon gebildet werden. Das Ätzen kann anisotrop sein. Bei einigen Ausführungsformen können die Gräben Streifen (in Draufsicht), die zueinander parallel und eng zueinander beabstandet sind, sein. Bei einigen Ausführungsformen können die Gräben kontinuierlich sein und die Halbleiterfinnen 64 umgeben.In some embodiments, the semiconductor fins 64 will be formed by etching trenches in the substrate 51 using, for example, Reactive Ion Etch (RIE), Neutral Beam Etch (NBE), the like, or a combination thereof. Etching can be anisotropic. In some embodiments, the trenches may be strips (in plan view) that are parallel to and closely spaced from each other. In some embodiments, the trenches may be continuous and surround the semiconductor fins 64 .

Die Finnen 64 können durch ein beliebiges zweckdienliches Verfahren strukturiert werden. Die Finnen 64 können zum Beispiel unter Verwenden eines oder mehrerer fotolitografischer Prozesse, darunter Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse, strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie und selbsttätig ausgerichtete Prozesse, die es erlauben, Strukturen zu schaffen, die zum Beispiel Abstände kleiner als die haben, die man anderenfalls unter Verwenden eines einzigen direkten Fotolithografieprozesses erhalten kann. Bei einer Ausführungsform wird zum Beispiel eine Opferschicht über einem Substrat gebildet und unter Verwenden eines Fotolithografieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwenden eines sich selbsttätig ausrichtenden Prozesses gebildet. Die Opferschicht wird dann entfernt, und die restlichen Abstandhalter oder Dorne können dann verwendet werden, um die Finnen zu strukturieren.The fins 64 can be patterned by any convenient method. The fins 64 may be patterned, for example, using one or more photolithographic processes including double patterning or multiple patterning processes. In general, double-patterning or multiple-patterning processes combine photolithography and self-aligned processes that allow creating structures that, for example, have pitches smaller than those that can otherwise be obtained using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers or mandrels can then be used to pattern the fins.

Wie erwähnt, wird ein oberer Abschnitt des Substrats 50 in dem Bereich 300 durch das Halbleitermaterial 50A ersetzt. In Abhängigkeit davon, wo der Grund der Gräben 613 zu der Schnittfläche 63 zwischen dem Halbleitermaterial 50A und dem Substrat 50 (zum Beispiel an der Schnittfläche 63, über der Schnittfläche 63 oder unter der Schnittfläche 63) liegt, können die Finnen 64B folglich ein oder mehrere Materialien aufweisen. Bei dem Beispiel der 4C erstreckt sich der Grund der Gräben 613 unter der Schnittfläche 63, und die Finne 64B hat folglich einen ersten Abschnitt über der Schnittfläche 63, der aus dem Halbleitermaterial 50A (zum Beispiel Silizium-Germanium) gebildet ist, und einen zweiten Abschnitt unter der Schnittfläche 63, der aus dem Material (zum Beispiel Silizium) des Substrats 50 gebildet ist. Die Finne 64A wird vollständig aus dem Material (zum Beispiel Silizium) des Substrats 50 in dem veranschaulichten Beispiel der 4B gebildet. Bei anderen Ausführungsformen erstreckt sich der Grund der Gräben 613 über oder an der Schnittfläche 63, und die Finne 64B ist folglich vollständig aus dem Halbleitermaterial 50A (zum Beispiel Silizium-Germanium) gebildet, und die Finne 64A ist vollständig aus dem Material (zum Beispiel Silizium) des Substrats 50 gebildet.As mentioned, an upper portion of substrate 50 in region 300 is replaced with semiconductor material 50A. Thus, depending on where the bottom of the trenches 613 lies at the interface 63 between the semiconductor material 50A and the substrate 50 (e.g., at interface 63, above interface 63, or below interface 63), fins 64B may be one or more have materials. In the example of 4C the bottom of trenches 613 extends below interface 63, and fin 64B consequently has a first portion above interface 63 formed of semiconductor material 50A (e.g., silicon-germanium) and a second portion below interface 63, formed of the material (e.g. silicon) of the substrate 50 . The fin 64A is made entirely of the material (e.g., silicon) of the substrate 50 in the illustrated example of FIG 4B educated. In other embodiments, the bottom of trenches 613 extends above or at interface 63 and fin 64B is thus formed entirely of semiconductor material 50A (e.g., silicon germanium) and fin 64A is formed entirely of the material (e.g., silicon ) of the substrate 50 is formed.

Variationen der Struktur und des Bildungsverfahrens der Finnen 64 sind möglich. Obwohl die 4A bis 4C das Bilden von zwei Finnen 64 veranschaulichen, können mehr oder weniger als zwei Finnen gebildet werden. Als ein anderes Beispiel können die Finnen 64 durch Ätzen des Substrats 50 gebildet werden, um eine erste Mehrzahl von Finnen (von welchen mindestens Abschnitte entfernt und bei darauf folgender Verarbeitung ersetzt werden) zu bilden, das Bilden eines Isolationsmaterials um die erste Mehrzahl von Finnen, Entfernen von Abschnitten (zum Beispiel abschnitten in dem Bereich 300) der ersten Mehrzahl von Finnen zum Bilden von Vertiefungen in dem Isolationsmaterial, und epitaktisches Aufwachsen von Halbleitermaterial(ien) in den Vertiefungen zum Bilden der Finnen 64 gebildet werden.Variations in the structure and method of formation of the fins 64 are possible. Although the 4A until 4C illustrate forming two fins 64, more or fewer than two fins can be formed. As another example, the fins 64 may be formed by etching the substrate 50 to form a first plurality of fins (at least portions of which are removed and replaced in subsequent processing), forming an insulating material around the first plurality of fins, Removing portions (e.g., portions in region 300) of the first plurality of fins to form recesses in the insulating material, and epitaxially growing semiconductor material(s) in the recesses to form the fins 64 are formed.

Wie in den 5A bis 5F veranschaulicht, werden danach Isolationsbereiche 62 über dem Substrat 50 und auf gegenüberliegenden Seiten der Finnen 64 gebildet, und Dummy-Gate-Strukturen 75 (zum Beispiel 75A und 75B) werden über den Finnen 64 gebildet. 5A ist eine Draufsicht des FinFET-Bauteils 100, und die 5B und 5C sind Querschnittansichten des FinFET-Bauteils 100 in 5A jeweils entlang von Querschnitten F-F und E-E. Die 5D und 5E sind Querschnittansichten des FinFET-Bauteils 100 in 5A jeweils entlang von Querschnitten H-H und G-G, und 5F ist eine Querschnittansicht des FinFET-Bauteils 100 in 5A entlang des Querschnitts I-I.As in the 5A until 5F 1, isolation regions 62 are then formed over substrate 50 and on opposite sides of fins 64, and dummy gate structures 75 (e.g., 75A and 75B) are formed over fins 64. FIG. 5A FIG. 12 is a top view of the FinFET device 100, and FIG 5B and 5C are cross-sectional views of the FinFET device 100 in FIG 5A each along cross-sections FF and EE. The 5D and 5E are cross-sectional views of the FinFET device 100 in FIG 5A respectively along cross-sections HH and GG, and 5F 10 is a cross-sectional view of the FinFET device 100 in FIG 5A along cross-section II.

Unter Bezugnahme auf die 5B und 5C werden Isolationsbereiche 62 durch Füllen der Gräben 613 mit einem Isolationsmaterial und Vertiefen des Isolationsmaterials gebildet. Das Isolationsmaterial 54 kann ein Oxid sein, wie Siliziumoxid, ein Nitrid oder dergleichen, oder eine Kombination davon, und kann durch eine hochdichte chemische Plasma-Gasphasenabscheidung (High Density Plasma Chemical Vapor Deposition HDP-CVD), eine fließbare CVD (FCVD) (zum Beispiel eine auf CVD-basierende Materialabscheidung in einem entfernten Plasmasystem und Nachhärten gebildet werden, um es in ein anderes Material, wie ein Oxid, umwandeln zu lassen), dergleichen oder eine Kombination dieser gebildet werden. Andere Isolationsmaterialien und/oder Bildungsprozesse können verwendet werden. Ein Planarisierungsprozess, wie ein CMP, kann überschüssiges Isolationsmaterial entfernen und eine obere Oberfläche des Isolationsmaterials und obere Oberflächen der Halbleiterfinnen 64 bilden, die koplanar (nicht gezeigt) sind. Die strukturierte Maske 58 (siehe 4B und 4C) kann durch den CMP-Prozess entfernt werden.Referring to the 5B and 5C Isolation regions 62 are formed by filling trenches 613 with an isolation material and deepening the isolation material. The insulating material 54 may be an oxide, such as silicon oxide, a nitride, or the like, or a combination thereof, and may be formed by High Density Plasma Chemical Vapor Deposition (HDP-CVD), Flowable CVD (FCVD) (eg example one on CVD-based material deposition in a remote plasma system and post-cure to have it converted to another material such as an oxide), the like, or a combination of these can be formed. Other insulation materials and/or formation processes can be used. A planarization process, such as a CMP, may remove excess insulating material and form a top surface of the insulating material and top surfaces of the semiconductor fins 64 that are coplanar (not shown). The structured mask 58 (see 4B and 4C ) can be removed by the CMP process.

Bei einigen Ausführungsformen weisen die Isolationsbereiche 62 einen Liner, zum Beispiel ein Lineroxid (nicht gezeigt) an der Schnittfläche zwischen den Isolationsbereichen 62 und dem Substrat 50/den Finnen 64 auf. Bei einigen Ausführungsformen wird das Lineroxid gebildet, um kristalline Mängel an der Schnittfläche zwischen dem Substrat 50 und den Isolationsbereiche 62 zu verringern. Auf ähnliche Art kann das Lineroxid auch verwendet werden, um kristalline Mängel an der Schnittfläche zwischen den Halbleiterfinnen 64 und den Isolationsbereichen zu verringern. Das Lineroxid (zum Beispiel Siliziumoxid) kann ein thermisches Oxid sein, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 50 und/oder der Finnen 64 gebildet wird, obwohl jedes beliebige zweckdienliche Verfahren auch zum Bilden des Lineroxids verwendet werden kann.In some embodiments, the isolation regions 62 include a liner, for example a liner oxide (not shown) at the interface between the isolation regions 62 and the substrate 50/fins 64. FIG. In some embodiments, the liner oxide is formed to reduce crystalline imperfections at the interface between the substrate 50 and the isolation regions 62 . Similarly, the liner oxide can also be used to reduce crystalline imperfections at the interface between the semiconductor fins 64 and the isolation regions. The liner oxide (e.g., silicon oxide) may be a thermal oxide formed by thermal oxidation of a surface layer of the substrate 50 and/or the fins 64, although any convenient method for forming the liner oxide may also be used.

Danach wird das Isolationsmaterial vertieft, um Isolationsbereiche 62 wie Flachgraben-(Shallow Trench Isolation - STI)-Bereiche zu bilden. Das Isolationsmaterial wird derart vertieft, dass die oberen Abschnitte der Halbleiterfinnen 64A/64B zwischen benachbarten Isolationsbereichen 62 vorragen. Die oberen Oberflächen der Isolationsbereiche 62 können eine flache Oberfläche (wie veranschaulicht), eine konvexe Oberfläche, eine konkave Oberfläche (wie zum Beispiel ein Dishing) oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsbereiche 62 können flach, konvex und/oder konkav durch ein zweckmäßiges Ätzen gebildet werden. Die Isolationsbereiche 62 können unter Verwenden eines akzeptablen Ätzprozesses vertieft werden, wie einem, der hinsichtlich des Materials der Isolationsbereiche 62 selektiv ist. Zum Beispiel kann ein chemisches Oxidentfernen unter Verwenden einer CERTAS®-Ätzung oder eines Applied Materials SICONI-Tools oder verdünnter Fluorwasserstoffsäure (dHF) verwendet werden.Thereafter, the insulating material is deepened to form isolation regions 62 such as Shallow Trench Isolation (STI) regions. The isolation material is recessed such that the top portions of the semiconductor fins 64A/64B protrude between adjacent isolation regions 62 . The top surfaces of the isolation regions 62 may have a flat surface (as illustrated), a convex surface, a concave surface (such as a dishing), or a combination thereof. The top surfaces of the isolation regions 62 may be formed flat, convex, and/or concave by appropriate etching. The isolation regions 62 may be deepened using any acceptable etch process, such as one that is selective with respect to the material of the isolation regions 62 . For example, chemical oxide removal using a CERTAS® etch or an Applied Materials SICONI tool or dilute hydrofluoric acid (dHF) can be used.

Die 5A bis 5C veranschaulichen das Bilden einer Dummy-Gate-Struktur 75 über den Halbleiterfinnen 64. Die Dummy-Gate-Struktur 75 weist bei einigen Ausführungsformen ein Gate-Dielektrikum 66 und ein Gate 68 auf. Zum Bilden der Dummy-Gate-Struktur 75 wird eine dielektrische Schicht auf den Halbleiterfinnen 64 (zum Beispiel 64A und 64B) und den Isolationsbereichen 62 gebildet. Die dielektrische Schicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, mehrere Schichten davon oder dergleichen sein, und kann abgeschieden (wie veranschaulicht) oder thermisch gemäß akzeptablen Techniken aufgewachsen (nicht gezeigt) werden. Die Bildungsverfahren der dielektrischen Schicht können Molekularstrahlabscheidung (Molecular-Beam Deposition - MBD), Atomschichtabscheidung (Atomic Layer Deposition - ALD), plasmaverstärkte CVD (Plasma Enhanced Chemical Vapor Deposition - PECVD) und dergleichen aufweisen.The 5A until 5C 12 illustrate forming a dummy gate structure 75 over the semiconductor fins 64. The dummy gate structure 75 includes a gate dielectric 66 and a gate 68 in some embodiments. To form the dummy gate structure 75, a dielectric layer is formed on the semiconductor fins 64 (e.g., 64A and 64B) and the isolation regions 62. FIG. The dielectric layer may be, for example, silicon oxide, silicon nitride, multiple layers thereof, or the like, and may be deposited (as illustrated) or thermally grown (not shown) according to acceptable techniques. The dielectric layer formation methods may include Molecular Beam Deposition (MBD), Atomic Layer Deposition (ALD), Plasma Enhanced Chemical Vapor Deposition (PECVD), and the like.

Eine Gate-Schicht wird über der dielektrischen Schicht gebildet, und eine Maskenschicht wird über der Gate-Schicht gebildet. Die Gate-Schicht kann über der dielektrischen Schicht abgeschieden und dann zum Beispiel durch ein CMP planarisiert werden. Die Maskenschicht kann über der Gate-Schicht 60 abgeschieden werden. Die Gate-Schicht kann zum Beispiel aus Polysilizium gebildet werden, obwohl andere Materialien auch verwendet werden können. Die Maskenschicht kann zum Beispiel aus Siliziumnitrid oder dergleichen gebildet werden.A gate layer is formed over the dielectric layer and a mask layer is formed over the gate layer. The gate layer can be deposited over the dielectric layer and then planarized by, for example, a CMP. The mask layer can be deposited over the gate layer 60 . The gate layer can be formed of polysilicon, for example, although other materials can also be used. The mask layer can be formed of silicon nitride or the like, for example.

Nachdem die Schichten (zum Beispiel die dielektrische Schicht, die Gate-Schicht und die Maskenschicht) gebildet wurden, kann die Maskenschicht unter Verwenden akzeptabler Fotolithografie- und Ätztechniken strukturiert werden, um eine Maske 70 zu bilden. Die Strukturierung der Maske 70 wird dann zu der Gate-Schicht und der dielektrischen Schicht durch eine akzeptable Ätztechnik übertragen, um jeweils das Gate 68 und das Gate-Dielektrikum 66 zu bilden, und das Gate 68 und das Gate-Dielektrikum 66 decken bei einigen Ausführungsformen jeweilige Kanalbereiche der Halbleiterfinnen 64 ab. Bei anderen Ausführungsformen wird die Strukturierung der Maske 70 zu der Gate-Schicht übertragen, um das Gate 68 zu bilden, nicht aber zu der dielektrischen Schicht übertragen. Mit anderen Worten wird die dielektrische Schicht bei einigen Ausführungsformen nicht von der Maske 70 strukturiert, wobei in diesem Fall die dielektrische Schicht das Gate-Dielektrikum 66 oder die dielektrische Schicht 66 genannt werden kann. Die folgende Besprechung verwendet das Beispiel, bei dem die dielektrische Schicht nicht von der Maske 70 strukturiert wird, das Konzept der vorliegenden Offenbarung wendet jedoch auch Ausführungsformen an, bei welchen die dielektrische Schicht von der Maske 70 strukturiert wird. Das Gate 68 kann eine Längsrichtung im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen Halbleiterfinnen 64 aufweisen. Wie in 5A veranschaulicht, wird die Gate-Struktur 75A über den Finnen 64A in Bereich 200 gebildet, und die Gate-Struktur 75B wird über den Finnen 64B in dem Bereich 300 gebildet.After the layers (e.g., the dielectric layer, the gate layer, and the mask layer) have been formed, the mask layer can be patterned to form a mask 70 using acceptable photolithography and etching techniques. The mask 70 pattern is then transferred to the gate layer and dielectric layer by an acceptable etching technique to form gate 68 and gate dielectric 66, respectively, and gate 68 and gate dielectric 66 cover in some embodiments respective channel regions of the semiconductor fins 64. In other embodiments, the patterning of the mask 70 is transferred to the gate layer to form the gate 68 but is not transferred to the dielectric layer. In other words, the dielectric layer is not patterned by the mask 70 in some embodiments, in which case the dielectric layer may be called the gate dielectric 66 or the dielectric layer 66 . The following discussion uses the example where the dielectric layer is not patterned by the mask 70, however, the concept of the present disclosure applies to embodiments where the dielectric layer is patterned by the mask 70 as well. The gate 68 may have a longitudinal direction substantially perpendicular to the longitudinal direction of the respective semiconductor fins 64 . As in 5A As illustrated, gate structure 75A is formed over fins 64A in region 200, and gate structure 75B is formed over fins 64B in region 300. FIG.

Die 5D und 5E veranschaulichen Querschnittansichten des FinFET-Bauteils 100 der 5A jeweils entlang eines Querschnitts H-H und G-G. Die Gate-Strukturen 75A und 75B sind eventuell in diesem Querschnitt nicht sichtbar. Bei dem Beispiel der 5E erstreckt sich die Schnittfläche 63 weiter von einer oberen Hauptoberfläche 50U des Substrats 50 als eine obere Oberfläche 62U der Isolationsbereiche 62.The 5D and 5E 12 illustrate cross-sectional views of FinFET device 100 of FIG 5A each along a cross-section HH and GG. Gate structures 75A and 75B may not be visible in this cross section. In the example of 5E the interface 63 extends further from an upper major surface 50U of the substrate 50 than an upper surface 62U of the isolation regions 62.

5F veranschaulicht eine Querschnittansicht des FinFET-Bauteils 100 der 5A entlang des Querschnitts I-I. Wie in 5F veranschaulicht, wird die Gate-Struktur 75A über den Finnen 64A in dem Bereich 200 gebildet, und die Gate-Struktur 75B wird über den Finnen 64B in dem Bereich 300 gebildet. 5F 12 illustrates a cross-sectional view of FinFET device 100 of FIG 5A along cross-section II. As in 5F As illustrated, gate structure 75A is formed over fins 64A in region 200 and gate structure 75B is formed over fins 64B in region 300. FIG.

Die 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 113C und 12A bis 12C veranschaulichen weitere Verarbeitung des FinFET-Bauteils 100, das in den 5A bis 5F gezeigt ist. Insbesondere veranschaulichen die 6A, 7A, 8A, 9A, 10A, 11A und 12A Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts I-I (siehe 5A) bei diversen Fertigungsstufen. Die 6B, 7B, 8B, 9B, 10B, 11B und 12B veranschaulichen die entsprechenden Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts H-H (siehe 5A), und die 6C, 7C, 8C, 9C, 10C, 11C und 12C veranschaulichen die entsprechenden Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts G-G (siehe 5A).The 6A until 6C , 7A until 7C , 8A until 8C , 9A until 9C , 10A until 10C , 11A up to 113C and 12A until 12C illustrate further processing of the FinFET device 100 shown in FIGS 5A until 5F is shown. In particular, the 6A , 7A , 8A , 9A , 10A , 11A and 12A Cross-sectional views of the FinFET device 100 along cross-section II (see FIG 5A ) at various stages of production. The 6B , 7B , 8B , 9B , 10B , 11B and 12B illustrate the corresponding cross-sectional views of the FinFET device 100 along the cross-section HH (see FIG 5A ), and the 6C , 7C , 8C , 9C , 10C , 11C and 12C illustrate the corresponding cross-sectional views of the FinFET device 100 along the cross-section GG (see FIG 5A ).

Anschließend, wie in den 6A bis 6C veranschaulicht, werden eine erste Abstandsschicht 86 und eine zweite Abstandsschicht 84 nacheinander über der Struktur, die in den 5A bis 5F veranschaulicht ist, gebildet. Die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 können formangeglichen gebildet werden. Bei einigen Ausführungsformen umfasst die erste Abstandsschicht 86 dielektrisches Low-x-Material und kann daher eine Low-x-Abstandsschicht genannt werden. Die erste Abstandsschicht 86 kann aus einem geeigneten Material gebildet werden, wie aus Siliziumoxicarbid (SiOC), Siliziumoxicarbonitrid (SiOCN) oder Siliziumcarbonitrid (SiCN). Eine Stärke der ersten Abstandsschicht 86 kann in einem Bereich zwischen etwa 2 nm bis etwa 5 nm liegen. Bei einigen Ausführungsformen umfasst die zweite Abstandsschicht ein nitridreiches dielektrisches Material. Die zweite Abstandsschicht 84 kann aus einem geeigneten Material gebildet werden, wie Siliziumnitrid (SiN) oder Siliziumcarbonitrid (SiCN). Eine Stärke der zweiten Abstandsschicht 84 kann in einem Bereich zwischen etwa 3 nm bis etwa 5 nm liegen. Jedes zweckdienliche Abscheidungsverfahren, wie PVD, CVD und ALD, kann verwendet werden, um die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 zu bilden.Subsequently, as in the 6A until 6C 1, a first spacer layer 86 and a second spacer layer 84 are sequentially deposited over the structure shown in FIGS 5A until 5F is illustrated formed. The first spacer layer 86 and the second spacer layer 84 may be formed conformal. In some embodiments, the first spacer layer 86 comprises low-x dielectric material and may therefore be called a low-x spacer layer. The first spacer layer 86 may be formed from any suitable material, such as silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), or silicon carbonitride (SiCN). A thickness of the first spacer layer 86 may range from about 2 nm to about 5 nm. In some embodiments, the second spacer layer comprises a nitride-rich dielectric material. The second spacer layer 84 may be formed from any suitable material, such as silicon nitride (SiN) or silicon carbonitride (SiCN). A thickness of the second spacer layer 84 may range from about 3 nm to about 5 nm. Any convenient deposition process, such as PVD, CVD, and ALD, may be used to form first spacer layer 86 and second spacer layer 84 .

In Übereinstimmung mit einigen Ausführungsformen wird ein erstes Material der ersten Abstandsschicht 86 von einem zweiten Material der zweiten Abstandsschicht 84 unterschiedlich ausgewählt, um Ätzselektivität zwischen der ersten Abstandsschicht 86 und der zweiten Abstandsschicht 84 bei darauf folgender Verarbeitung bereitzustellen. Wenn die zweite Abstandsschicht 84 zum Beispiel aus SiN gebildet wird, kann die erste Abstandsschicht 86 aus SiOC, SiOCN oder SiCN gebildet werden. Als ein anderes Beispiel, wenn die zweite Abstandsschicht 84 zum Beispiel aus SiCN gebildet wird, kann die erste Abstandsschicht 86 aus SiOC oder SiOCN gebildet werden.In accordance with some embodiments, a first material of the first spacer layer 86 is selected different from a second material of the second spacer layer 84 to provide etch selectivity between the first spacer layer 86 and the second spacer layer 84 in subsequent processing. For example, if the second spacer layer 84 is formed of SiN, the first spacer layer 86 may be formed of SiOC, SiOCN, or SiCN. As another example, if the second spacer layer 84 is formed of SiCN, for example, the first spacer layer 86 may be formed of SiOC or SiOCN.

Anschließend, wie in den 7A bis 7C veranschaulicht, wird eine Maskenschicht, die eine lichtempfindliche Schicht wie ein Fotolack sein kann, über der Struktur, die in den 6A bis 6C gezeigt ist, unter Verwenden von CVD, PVD, Rotationsbeschichten oder einem anderen zweckdienlichen Abscheidungsverfahren gebildet. Die Maskenschicht wird dann zum Beispiel unter Verwenden von Fotolithografie- und/oder Strukturierungstechniken strukturiert, um eine strukturierte Maske 88 zu bilden. Die strukturierte Maske 88 deckt den Bereich 200 ab, legt aber den Bereich 300., wie in den 7A bis 7C veranschaulicht, frei. Die strukturierte Maske 88 schirmt folglich den Bereich 200 von den darauffolgenden Ätzprozessen, die unter Bezugnahme auf die 8A bis 8C und 9A bis 9C beschrieben sind, ab.Subsequently, as in the 7A until 7C 1, a mask layer, which may be a photosensitive layer such as a photoresist, is applied over the structure shown in FIGS 6A until 6C is formed using CVD, PVD, spin coating, or any other suitable deposition process. The mask layer is then patterned to form a patterned mask 88 using, for example, photolithography and/or patterning techniques. Patterned mask 88 covers area 200 but lays down area 300 1 as in FIGS 7A until 7C illustrated, free. The patterned mask 88 thus shields the region 200 from the subsequent etch processes, which are described with reference to FIG 8A until 8C and 9A until 9C are described, from.

Anschließend, wie in den 8A bis 8C veranschaulicht, wird ein Ätzprozess ausgeführt, um Abschnitte der zweiten Abstandsschicht 84 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen ist der Prozess, der zum Entfernen von Abschnitten der zweiten Abstandsschicht 84 verwendet wird, ein anisotroper Ätzprozess, wie ein Trockenätzprozess. Ein Plasma-Ätzprozess, der Kohlenmonoxid (CO), Tetrafluormethan (CF4), Sauerstoff (O2), Ozon (O3) oder Kombinationen davon verwendet, kann ausgeführt werden, um die freigelegte zweite Abstandsschicht 84 in dem Bereich 300 (zum Beispiel einem PMOS-Bereich) zu entfernen. Bei einigen Ausführungsformen weist der Plasma-Ätzprozess eine hohe Ätzselektivität (hat zum Beispiel eine höhere Ätzrate) für die zweite Abstandsschicht 84 über der ersten Abstandsschicht 86 auf. Bei einigen Ausführungsformen reagiert das Plasma (zum Beispiel CF4-Plasma), das bei dem Plasma-Ätzprozess verwendet wird, mit der zweiten Abstandsschicht 84, um die zweite Abstandsschicht 84 zu entfernen. Bei einigen Ausführungsformen entfernt der anisotrope Ätzprozess die zweite Abstandsschicht 84 über einer oberen Oberfläche und Seitenwänden der Finnen 64B (siehe 8C), so dass die erste Abstandsschicht 86 über der oberen Oberfläche und den Seitenwänden der Finnen 64B freigelegt wird. Der anisotrope Ätzprozess kann auch obere Abschnitte der ersten Abstandsschicht 86 in dem Bereich 300 entfernen. Wie in 8A veranschaulicht, entfernt der Ätzprozess Abschnitte der zweiten Abstandsschicht 84 und Abschnitte der ersten Abstandsschicht 86 über der oberen Oberfläche der Gate-Struktur 75B (zum Beispiel über der Maske 70), so dass die Maske 70 freigelegt wird. Zusätzlich kann die erste Abstandsschicht 86 über der oberen Oberfläche der Finnen 64B verdünnt (siehe 8A) oder entfernt (nicht gezeigt) werden.Subsequently, as in the 8A until 8C As illustrated, an etch process is performed to remove portions of second spacer layer 84 in region 300 . In some embodiments, the process used to remove portions of the second spacer layer 84 is an anisotropic etch process, such as a dry etch process. A plasma etch process using carbon monoxide (CO), tetrafluoromethane (CF 4 ), oxygen (O 2 ), ozone (O 3 ), or combinations thereof may be performed to etch the exposed second spacer layer 84 in region 300 (e.g a PMOS area). In some embodiments, the plasma etch process has a high etch selectivity (e.g., has a higher etch rate) for the second spacer layer 84 over the first spacer layer 86 . In some embodiments, the plasma (e.g., CF 4 plasma) reacting at the Plasma etch process is used with the second spacer layer 84 to remove the second spacer layer 84 . In some embodiments, the anisotropic etch process removes the second spacer layer 84 over a top surface and sidewalls of the fins 64B (see FIG 8C ) such that the first spacer layer 86 is exposed over the top surface and sidewalls of the fins 64B. The anisotropic etch process may also remove top portions of first spacer layer 86 in region 300 . As in 8A As illustrated, the etch process removes portions of second spacer layer 84 and portions of first spacer layer 86 over the top surface of gate structure 75B (eg, over mask 70) such that mask 70 is exposed. Additionally, the first spacer layer 86 may be thinned over the top surface of the fins 64B (see FIG 8A ) or removed (not shown).

Aufgrund der Anisotropie des Plasma-Ätzprozesses (zum Beispiel wird DC-Vorspannung verwendet) und/oder aufgrund des Nebenprodukts (zum Beispiel Polymer), das auf den Seitenwänden der Gate-Struktur 75B während des Plasma-Ätzprozesses gebildet wird, verbleiben Abschnitte der zweiten Abstandsschicht 84 (zum Beispiel 84R) entlang der Seitenwände der Gate-Struktur 75B (zum Beispiel aufgrund des Schutzes, der von dem Nebenprodukt des Plasma-Ätzprozesses bereitgestellt wird) nach dem Plasma-Ätzprozess, wie in 8A veranschaulicht. Die verbleibenden Abschnitte 84R der zweiten Abstandsschicht 84 entlang der Seitenwände der Gate-Struktur 75B schützen vorteilhafterweise Abschnitte der ersten Abstandsschicht 86, die zwischen den verbleibenden Abschnitten 84R und der Gate-Struktur 75B angeordnet sind, vor einem darauffolgenden Ätzprozess, so dass die Abschnitte der ersten Abstandsschicht 86 zwischen den verbleibenden Abschnitten 84R und der Gate-Struktur 75B nach dem darauffolgenden Ätzprozess verbleiben, um als Gateabstandhalter der Gate-Struktur 75B zu dienen.Portions of the second spacer layer remain due to the anisotropy of the plasma etch process (e.g., DC bias is used) and/or due to the by-product (e.g., polymer) formed on the sidewalls of the gate structure 75B during the plasma etch process 84 (e.g. 84R) along the sidewalls of the gate structure 75B (e.g. due to the protection provided by the by-product of the plasma etch process) after the plasma etch process as in FIG 8A illustrated. The remaining portions 84R of the second spacer layer 84 along the sidewalls of the gate structure 75B advantageously protect portions of the first spacer layer 86, which are arranged between the remaining portions 84R and the gate structure 75B, from a subsequent etching process, so that the portions of the first Spacer layer 86 is left between the remaining portions 84R and the gate structure 75B after the subsequent etch process to serve as a gate spacer of the gate structure 75B.

Bei einer beispielhaften Ausführungsform ist der anisotrope Ätzprozess ein Plasma-Ätzprozess, der einen ersten Plasma-Ätzschritt gefolgt von einem zweiten Plasma-Ätzschritt umfasst. Der erste Plasma-Ätzschritt wird unter Verwenden von Tetrafluormethan (CF4) ausgeführt, und der zweite Plasma-Ätzschritt wird unter Verwenden von Sauerstoff (O2) ausgeführt. Bei einigen Ausführungsformen kann der erste Plasma-Ätzschritt Nebenprodukte wie Polymer erzeugen, wobei das Polymer die obere Oberfläche und die Seitenwände der Gate-Struktur 75B abdeckt, und daher vorteilhafterweise Beschädigung (zum Beispiel Ätzen der Seitenwände der Gate-Struktur) an der Gate-Struktur 75B während des ersten Plasma-Ätzschritts verringert oder verhindert. Nach dem ersten Plasma-Ätzschritt entfernt das O2-Plasma, das bei dem zweiten Plasma-Ätzschritt verwendet wird, das Nebenprodukt, das durch den ersten Plasma-Ätzschritt erzeugt wurde.In an exemplary embodiment, the anisotropic etch process is a plasma etch process that includes a first plasma etch step followed by a second plasma etch step. The first plasma etch step is performed using tetrafluoromethane (CF 4 ), and the second plasma etch step is performed using oxygen (O 2 ). In some embodiments, the first plasma etch step may produce by-products such as polymer, with the polymer covering the top surface and sidewalls of the gate structure 75B, and therefore advantageously damage (e.g., etching the sidewalls of the gate structure) to the gate structure 75B is reduced or eliminated during the first plasma etch step. After the first plasma etch step, the O 2 plasma used in the second plasma etch step removes the by-product generated by the first plasma etch step.

Bei einigen Ausführungsformen werden der erste Plasma-Ätzschritt und der zweite Plasma-Ätzschritt des Plasma-Ätzprozesses bei einer gleichen Temperatur und unter einem gleichen Druck ausgeführt. Bei einigen Ausführungsformen liegt eine Temperatur des Plasma-Ätzprozesses in einem Bereich zwischen etwa 30 °C bis etwa 65 °C, und ein Druck des Plasma-Ätzprozesses liegt in einem Bereich zwischen etwa 0,53 Pa bis etwa 6,66 Pa. Eine CF4-Flussrate bei dem ersten Plasma-Ätzschritt kann in einem Bereich zwischen etwa 100 Normkubikzentimeter (Standard Cubic Centimeters) pro Minute (sccm) bis etwa 200 sccm liegen. Eine O2-Flussrate bei dem zweiten Plasma-Ätzschritt kann in einem Bereich zwischen etwa 100 sccm bis etwa 200 sccm liegen. Trägergas, wie Stickstoff, Argon oder dergleichen, kann zum Tragen des Plasmas verwendet werden. Jeder Zyklus des ersten Plasma-Ätzschritts kann während einer Dauer in einem Bereich zwischen etwa 5 Sekunden und etwa 15 Sekunden ausgeführt werden. Jeder Zyklus des zweiten Plasma-Ätzschritts kann während einer Dauer in einem Bereich zwischen etwa 5 Sekunden und etwa 15 Sekunden ausgeführt werden. Die Anzahl von Zyklen bei dem ersten Plasma-Ätzschritt und bei dem zweiten Plasma-Ätzschritt kann zum Beispiel von der Stärke der Abstandsschicht (zum Beispiel 84), die zu entfernen ist, abhängen.In some embodiments, the first plasma etch step and the second plasma etch step of the plasma etch process are performed at the same temperature and pressure. In some embodiments, a temperature of the plasma etch process ranges from about 30°C to about 65°C and a pressure of the plasma etch process ranges from about 0.53 Pa to about 6.66 Pa. A CF 4 flow rate in the first plasma etch step may range from about 100 standard cubic centimeters per minute (sccm) to about 200 sccm. An O 2 flow rate in the second plasma etch step may range from about 100 sccm to about 200 sccm. Carrier gas such as nitrogen, argon or the like can be used to support the plasma. Each cycle of the first plasma etch step can be performed for a duration ranging from about 5 seconds to about 15 seconds. Each cycle of the second plasma etch step can be performed for a duration ranging from about 5 seconds to about 15 seconds. The number of cycles in the first plasma etch step and in the second plasma etch step may depend, for example, on the thickness of the spacer layer (e.g., 84) to be removed.

Anschließend, wie in den 9A bis 9C veranschaulicht, wird ein anderer Ätzprozess ausgeführt, um Abschnitte der ersten Abstandsschicht 86 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen ist der andere Ätzprozess, der zum Entfernen der ersten Abstandsschicht 86 verwendet wird, ein Nassätzprozess, zum Beispiel ein chemischer Ätzprozess, der ein Ätzmittel verwendet. Das Ätzmittel kann eine hohe Ätzselektivität (zum Beispiel eine höhere Ätzrate) für die erste Abstandsschicht 86 im Vergleich zu der zweiten Abstandsschicht 84 aufweisen. Die erste Abstandsschicht 86 kann folglich, ohne im Wesentlichen die zweite Abstandsschicht 84 (zum Beispiel 84R) anzugreifen, entfernt werden. Ein Nassätzprozess, der zum Beispiel verdünnte Fluorwasserstoffsäure (dHF), Wasserstoffperoxid (H2O2), Ozon (O3), Phosphorsäure (H3PO4), ein Standard-(STD)-Reinigungsfluid (das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) aufweist) oder Kombinationen davon aufweist, kann ausgeführt werden, um die freigelegte erste Abstandsschicht 86 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen entfernt der Nassätzprozess die freigelegte erste Abstandsschicht 86 in dem Bereich 300 vollständig, so dass eine obere Oberfläche 64BU der Finnen 64B und der Seitenwände der Finnen 64B (zum Beispiel die Seitenwände der Finnen 64B über der oberen Oberfläche 62U der Isolationsbereiche 62) nach dem Nassätzprozess freigelegt sind, wie in 9C veranschaulicht. Zu bemerken ist, dass aufgrund des verbleibenden Abschnitts 84R der zweiten Abstandsschicht 84 Abschnitte der ersten Abstandsschicht 86 entlang der Seitenwände der Gate-Struktur 75B nach dem Nassätzprozess verbleiben.Subsequently, as in the 9A until 9C As illustrated, another etch process is performed to remove portions of first spacer layer 86 in region 300 . In some embodiments, the other etch process used to remove the first spacer layer 86 is a wet etch process, for example a chemical etch process using an etchant. The etchant may have a high etch selectivity (e.g., higher etch rate) for the first spacer layer 86 compared to the second spacer layer 84 . The first spacer layer 86 can thus be removed without substantially attacking the second spacer layer 84 (e.g., 84R). A wet etch process using, for example, dilute hydrofluoric acid (dHF), hydrogen peroxide (H 2 O 2 ), ozone (O 3 ), phosphoric acid (H 3 PO 4 ), a standard (STD) cleaning fluid (which is a mixture containing deionized water (DIW), ammonium hydroxide (NH 4 OH), and hydrogen peroxide (H 2 O 2 )) or combinations thereof may be performed to remove the exposed first spacer layer 86 in region 300 . In some embodiments, the wet etch process completely removes the exposed first spacer layer 86 in region 300 such that a top surface 64BU of fins 64B and sidewalls of fins 64B (e.g., sidewalls of fins 64B over top surface 62U of isolation regions 62) are exposed after the wet etch process, as shown in FIG 9C illustrated. Note that due to the remaining portion 84R of the second spacer layer 84, portions of the first spacer layer 86 remain along the sidewalls of the gate structure 75B after the wet etch process.

Bei einigen Ausführungsformen umfasst der Nassätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden. Mit anderen Worten wird der zweite Schritt des Nassätzprozesses nach dem ersten Schritt des Nassätzprozesses ausgeführt, der dritte Schritt des Nassätzprozesses wird nach dem zweiten Schritt des Nassätzprozesses ausgeführt, und der vierte Schritt des Nassätzprozesses wird nach dem dritten Schritt des Nassätzprozesses ausgeführt. Insbesondere wird der erste Schritt unter Verwenden eines Gemischs ausgeführt, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt wird unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt, der dritte Schritt wird unter Verwenden von Phosphorsäure (H3PO4) ausgeführt, und der vierte Schritt wird unter Verwenden des STD-Reinigungsfluids, das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst, ausgeführt. Durch das Ausführen des ersten Schritts des zweiten Schritts, des dritten Schritts und des vierten Schritts wie oben beschrieben, kann der Entfernungsprozess der ersten Abstandsschicht 86 präzis gesteuert werden.In some embodiments, the wet etch process includes a first step, a second step, a third step, and a fourth step that are performed sequentially. In other words, the second step of the wet etching process is performed after the first step of the wet etching process, the third step of the wet etching process is performed after the second step of the wet etching process, and the fourth step of the wet etching process is performed after the third step of the wet etching process. Specifically, the first step is performed using a mixture containing hydrogen peroxide (H 2 O2) and ozone (O 3 ), the second step is performed using dilute hydrofluoric acid (dHF), the third step is performed using phosphoric acid (H 3 PO 4 ) and the fourth step is performed using the STD cleaning fluid which is a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). By performing the first step, the second step, the third step, and the fourth step as described above, the removing process of the first spacer layer 86 can be precisely controlled.

Dann werden, wie in den 10A bis 10C veranschaulicht, epitaktische Source-/Drain-Bereiche 80B über der freigelegten oberen Oberfläche 64BU (siehe 9C) und den freigelegten Seitenwänden der Finnen 64B unter Verwenden zweckdienlicher Verfahren, wie metallorganische-chemische Gasphasenabscheidung (Metal Organic Chemical Vapor Deposition - MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy - MBE), Flüssigphasen-Epitaxie (Liquid Phase Epitaxy - LPE), Gasphasenepitaxie (Vapor Phase Epitaxy - VPE), selektives epitaktisches Aufwachsen (Selective Epitaxial Growth - SEG), dergleichen oder einer Kombination davon gebildet. Die epitaktischen Source-/Drain-Bereiche 80B können Oberflächen aufweisen, die über jeweilige obere Oberflächen der Finnen 64B erhöht sind, und können Facetten haben. Wie in 10C veranschaulicht, vereinen sich die Source-/Drain-Bereiche 80B der benachbarten Finnen 64B, um einen kontinuierlichen epitaktischen Source-/Drain-Bereich 80B zu bilden. Nachdem die epitaktischen Source-/Drain-Bereiche 80B geformt wurden, wird die strukturierte Maske 88 unter Verwenden eines zweckdienlichen Prozesses, wie Veraschen, entfernt.Then, as in the 10A until 10C 1 illustrates epitaxial source/drain regions 80B over exposed top surface 64BU (see FIG 9C ) and the exposed sidewalls of the fins 64B using convenient methods such as Metal Organic Chemical Vapor Deposition (MOCVD), Molecular Beam Epitaxy (MBE), Liquid Phase Epitaxy (LPE), Vapor Phase Epitaxy ( vapor phase epitaxy - VPE), selective epitaxial growth (SEG), the like, or a combination thereof. Epitaxial source/drain regions 80B may have surfaces raised above respective top surfaces of fins 64B and may have facets. As in 10C As illustrated, the source/drain regions 80B of the adjacent fins 64B combine to form a continuous epitaxial source/drain region 80B. After the epitaxial source/drain regions 80B have been formed, the patterned mask 88 is removed using an appropriate process such as ashing.

Obwohl das in den 10A bis 10C nicht veranschaulicht ist, können in den Finnen 64B leicht dotierte Drain-(Light Doped Drain - LDD)-Bereiche gebildet werden, bevor die epitaktischen Source-/Drain-Bereiche 80B gebildet werden. LDD-Bereiche können durch einen Plasma-Dotierungsprozess gebildet werden. Der Plasma-Dotierungsprozess kann einen entsprechenden Typ von Verunreinigungen, wie P-Typ-Verunreinigungen (für P-Typ-Bauteile), in den Finnen 64B implantieren, um die LDD-Bereiche zu bilden. Die strukturierte Maske 88 kann zum Beispiel den Bereich 200 (zum Beispiel einen NMOS-Bereich) abschirmen, während P-Typ-Verunreinigungen in die LDD-Bereiche der Finnen 64B implantiert werden.Although that in the 10A until 10C Not illustrated, lightly doped drain (LDD) regions may be formed in fins 64B before epitaxial source/drain regions 80B are formed. LDD areas can be formed by a plasma doping process. The plasma doping process can implant a corresponding type of impurities, such as P-type impurities (for P-type devices) into the fins 64B to form the LDD regions. For example, patterned mask 88 may shield region 200 (e.g., an NMOS region) while P-type impurities are implanted in the LDD regions of fins 64B.

Bei einigen Ausführungsformen ist der resultierende FinFET in dem Bereich 300 ein P-Typ-FinFET, die Source-/Drain-Bereiche 80B umfassen SiGe und eine P-Typ-Verunreinigung, wie Bor oder Indium. Die epitaktischen Source-/Drain-Bereiche 80B können mit Dotierstoffen gefolgt von einem Glühen implantiert werden. Die Source-/Drain-Bereiche 80B können eine Verunreinigungs-(zum Beispiel Dotierstoff)-Konzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 80B in situ während des Aufwachsens dotiert werden.In some embodiments, the resulting FinFET in region 300 is a P-type FinFET, source/drain regions 80B include SiGe and a P-type impurity such as boron or indium. The epitaxial source/drain regions 80B may be implanted with dopants followed by an anneal. The source/drain regions 80B may have an impurity (e.g., dopant) concentration in a range from about 10 19 cm -3 to about 10 21 cm -3 . In some embodiments, the source/drain epitaxial regions 80B may be doped in situ during growth.

Die epitaktischen Source-/Drain-Bereiche 80B werden direkt auf der freigelegten oberen Oberfläche 64BU (siehe 9C) und auf den freigelegten Seitenwänden der Finnen 64B gebildet. Das ist von dem Prozess zum Bilden der epitaktischen Source-/Drain-Bereiche 80A, der unten unter Bezugnahme auf die 11A bis 11C besprochen wird, unterschiedlich. Die epitaktischen Source-/Drain-Bereiche 80B, die durch die Verarbeitung in der vorliegenden Offenbarung gebildet werden, werden eine Mantel-Epitaxiestruktur aufweisend genannt.The source/drain epitaxial regions 80B are formed directly on the exposed top surface 64BU (see FIG 9C ) and formed on the exposed sidewalls of fins 64B. This is from the process of forming the source/drain epitaxial regions 80A described below with reference to FIGS 11A until 11C is discussed, different. The source/drain epitaxial regions 80B formed by processing in the present disclosure are referred to as having a clad epitaxial structure.

Aufgrund der mehrschichtigen Struktur (zum Beispiel erste Abstandsschicht 86 und die zweite Abstandsschicht 84) für die Abstandsschichten und aufgrund des spezifischen Ätzprozesses (zum Beispiel Trockenätzen gefolgt von Nassätzen, wie oben besprochen), die offenbart wird, werden die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 über der oberen Oberfläche und den Seitenwänden der Finnen 64B vollständig entfernt. Als ein Resultat können die epitaktischen Source-/Drain-Bereiche 80B ein größeres Volumen aufweisen, was in verbesserter Bauteilleistung resultiert, wie niedrigerer Drain-induzierter Sperrverlust (Drain Induced Barrier Loss - DIBL), höherer ON-Strom Ion, niedrigerer Kontaktwiderstand für darauffolgend gebildete Source-/Drain-Kontakte, und verbesserte Bauteilzuverlässigkeit als Beispiele. Zusätzlich wird Beschädigung an der Gate-Struktur 75B und den Finnen 64B verringert. Zum Beispiel wird ein Finnen-Oberseitenverlust verringert, Beschädigung (zum Beispiel Ätzen) der Seitenwände der Finnen 64B wird verringert, und das kritische Maß (Critical Dimension - CD) der Finnen 64B wird besser gesteuert. Als ein anderes Beispiel, da Abschnitte der ersten Abstandsschicht 86 und der zweiten Abstandsschicht 84 (zum Beispiel 84R) auf den Seitenwänden der Gate-Struktur 75B nach dem oben offenbarten Ätzprozess verbleiben, wird Beschädigung an der Gatestruktur 75B verringert oder verhindert, und die Stärke der Gate-Struktur 75B wird gut gesteuert. Des Weiteren verringern die hier offenbarte Struktur und das Verfahren den Ladeeffekt zwischen inneren Abschnitten (zum Beispiel Abschnitten zwischen benachbarten Finnen 64B) der Isolationsbereiche 62 und äußeren Abschnitten (zum Beispiel Abschnitten, die nicht zwischen benachbarten Finnen 64B liegen) der Isolationsbereiche. Durch Verwenden der hier offenbarten mehrschichtigen Struktur für die Abstandsschicht und des spezifischen Ätzprozesses, kann ein Abstand zwischen einer oberen Oberfläche der inneren Abschnitte der Isolationsbereiche 62 und eine obere Oberfläche der äußeren Abschnitte der Isolationsbereiche 62 von 25 nm auf 5 nm verringert werden.Because of the multilayer structure (e.g., first spacer layer 86 and second spacer layer 84) for the spacer layers and because of the specific etch process (e.g., dry etch followed by wet etch, as discussed above) disclosed, first spacer layer 86 and second spacer layer 84 completely removed over the top surface and sidewalls of fins 64B. As a result, the source/drain epitaxial regions 80B can have a larger volume, resulting in improved device performance, such as lower drain induced barrier loss (DIBL), higher ON current I on , low higher contact resistance for subsequently formed source/drain contacts, and improved device reliability as examples. Additionally, damage to gate structure 75B and fins 64B is reduced. For example, fin top loss is reduced, damage (e.g., etching) to the sidewalls of fins 64B is reduced, and the critical dimension (CD) of fins 64B is better controlled. As another example, since portions of first spacer layer 86 and second spacer layer 84 (e.g., 84R) remain on the sidewalls of gate structure 75B after the etch process disclosed above, damage to gate structure 75B is reduced or prevented, and the strength of the Gate structure 75B is well controlled. Furthermore, the structure and method disclosed herein reduces the loading effect between interior portions (e.g., portions between adjacent fins 64B) of the isolation regions 62 and exterior portions (e.g., portions not between adjacent fins 64B) of the isolation regions. By using the multi-layer structure disclosed herein for the spacer layer and the specific etching process, a distance between a top surface of the inner portions of the isolation regions 62 and a top surface of the outer portions of the isolation regions 62 can be reduced from 25 nm to 5 nm.

Nachfolgend werden in den 11A bis 11C die zweite Abstandsschicht 84 in dem Bereich 200 und der verbleibende Abschnitt 84R der zweiten Abstandsschicht 84 in dem Bereich 300 unter Verwenden eines zweckdienlichen Prozesses, wie eines Ätzprozesses, entfernt. Ein geeignetes Ätzmittel, wie Phosphorsäure (H3PO4) kann für den Ätzprozess verwendet werden. Anschließend wird die erste Abstandsschicht 86 in dem Bereich 200 unter Verwenden zum Beispiel eines isotropen Ätzprozesses zum Entfernen von Abschnitten der ersten Abstandsschicht 86 über der oberen Oberfläche der Gate-Struktur 75A und über der oberen Oberfläche der Finnen 64A strukturiert. Eine strukturierte Maskenschicht (nicht gezeigt), wie ein strukturierter Fotolack, kann verwendet werden, um den Bereich 300 abzudecken, während der erste Abstandsbereich 86 in dem Bereich 200 strukturiert wird. Die strukturierte Maskenschicht wird dann unter Verwenden eines zweckdienlichen Verfahrens, wie Veraschen, entfernt. Nach dem Strukturieren der ersten Abstandsschicht 86, wie oben beschrieben, werden verbleibende Abschnitte der ersten Abstandsschicht 86 (siehe 11A), wie die entlang der Seitenwände der Gate-Strukturen 75A und 75B, als die Gate-Abstandhalter (zum Beispiel Low-κ-Gate-Abstandhalter) der entsprechenden Gate-Struktur verwendet. Die Abschnitte der ersten Abstandsschicht 86 entlang der Seitenwände der Gate-Strukturen 75A und 75B können folglich unten Abstandhalter 86 genannt werden.Below are in the 11A until 11C removing the second spacer layer 84 in region 200 and the remaining portion 84R of the second spacer layer 84 in region 300 using an appropriate process such as an etch process. A suitable etchant such as phosphoric acid (H 3 PO 4 ) can be used for the etching process. Next, the first spacer layer 86 is patterned in the region 200 using, for example, an isotropic etch process to remove portions of the first spacer layer 86 over the top surface of the gate structure 75A and over the top surface of the fins 64A. A patterned mask layer (not shown), such as a patterned photoresist, may be used to cover region 300 while first spacer region 86 in region 200 is patterned. The patterned mask layer is then removed using an appropriate method such as ashing. After patterning the first spacer layer 86 as described above, remaining portions of the first spacer layer 86 (see FIG 11A ), such as those along the sidewalls of gate structures 75A and 75B, are used as the gate spacers (e.g., low-κ gate spacers) of the corresponding gate structure. The portions of the first spacer layer 86 along the sidewalls of the gate structures 75A and 75B may thus be called spacers 86 below.

Dann können LDD-Bereiche, obwohl das nicht veranschaulicht ist, in den Finnen 64A gebildet werden, bevor die epitaktischen Source-/Drain-Bereiche 80A gebildet werden. LDD-Bereiche können durch einen Plasma-Dotierungsprozess gebildet werden. Der Plasma-Dotierungsprozess kann einen entsprechenden Typ von Verunreinigungen, wie N-Typ-Verunreinigungen (für N-Typ-Bauteile) in den Finnen 64A implantieren, um die LDD-Bereiche zu bilden. Einige strukturierte Maskenschicht (nicht gezeigt) kann zum Beispiel gebildet werden, um den Bereich 300 (zum Beispiel einen PMOS-Bereich) abzuschirmen, während N-Typ-Verunreinigungen in die LDD-Bereiche der Finnen 64A implantiert werden. Die strukturierte Maskenschicht kann entfernt werden, nachdem die LDD-Bereiche geformt wurden.Then, although not illustrated, LDD regions may be formed in the fins 64A before the source/drain epitaxial regions 80A are formed. LDD areas can be formed by a plasma doping process. The plasma doping process can implant a corresponding type of impurities, such as N-type impurities (for N-type devices) into the fins 64A to form the LDD regions. For example, some patterned mask layer (not shown) may be formed to shield region 300 (e.g., a PMOS region) while N-type impurities are implanted in the LDD regions of the fins 64A. The patterned mask layer can be removed after the LDD areas have been formed.

Dann werden epitaktische Source-/Drain-Bereiche 80A in den Finnen 64A gebildet. Die epitaktischen Source-/Drain-Bereiche 80A können durch Ätzen der Finnen 64A (zum Beispiel Ätzen der LDD-Bereiche innerhalb der Finnen 64A) zum Bilden von Vertiefungen, und epitaktisches Aufwachsen eines Materials in der Vertiefung unter Verwenden zweckdienlicher Verfahren, wie metallorganische CVD metallorganische CVD (MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy - MBE), Flüssigphasenepitaxie (Liquid Phase Epitaxy - LPE), Gasphasenepitaxie (Vapor Phase Epitaxy - VPE), selektives epitaktisches Aufwachsen (Selective Epitaxial Growth - SEG), dergleichen oder eine Kombination davon gebildet werden.Then epitaxial source/drain regions 80A are formed in the fins 64A. The epitaxial source/drain regions 80A may be formed by etching the fins 64A (e.g., etching the LDD regions within the fins 64A) to form recesses, and epitaxially growing a material in the recess using appropriate methods such as metal-organic CVD CVD (MOCVD), Molecular Beam Epitaxy (MBE), Liquid Phase Epitaxy (LPE), Vapor Phase Epitaxy (VPE), Selective Epitaxial Growth (SEG), the like, or a combination thereof .

Wie in 11A veranschaulicht, können Lücken 87 (zum Beispiel Leerraum) zwischen den Source-/Drain-Bereichen 80B und den Abstandhaltern 86 der Gate-Struktur 75B aufgrund des Entfernens der verbleibenden Abschnitte 84R (siehe 10A) der zweiten Abstandsschicht 84 gebildet werden. Die epitaktischen Source-/Drain-Bereiche 80B können zum Beispiel von den Abstandhaltern 86 durch Lücken 87 beabstandet werden. Die Breite der Lücken 87 kann durch die Breite der verbleibenden Abschnitte 84R der zweiten Abstandsschicht 84, bestimmt werden. Die Breite der Lücken 87 kann zum Beispiel zwischen etwa 0 nm und 3 nm liegen, obwohl andere Maße auch möglich sind. Im Gegensatz dazu berührt der epitaktische Source-/Drain-Bereich 80A die Abstandhalter 86 der Gate-Struktur 75A physisch, und folglich besteht bei einigen Ausführungsformen keine Lücke zwischen dem epitaktischen Source-/Drain-Bereich 80A und den Abstandhaltern der Gate-Struktur 75A. Bei einigen Ausführungsformen ist ein Abstand zwischen den epitaktischen Source-/Drain-Bereichen 80B und der Gate-Struktur 75B größer als ein Abstand zwischen den epitaktischen Source-/Drain-Bereichen 80A und der Gate-Struktur 75A.As in 11A As illustrated, gaps 87 (e.g., space) between the source/drain regions 80B and the spacers 86 of the gate structure 75B due to the removal of the remaining portions 84R (see FIG 10A ) of the second spacer layer 84 are formed. The source/drain epitaxial regions 80B may be spaced from the spacers 86 by gaps 87, for example. The width of the gaps 87 can be determined by the width of the remaining portions 84R of the second spacer layer 84'. The width of the gaps 87 can be between about 0 nm and 3 nm, for example, although other dimensions are also possible. In contrast, the source/drain epitaxial region 80A physically touches the gate structure 75A spacers 86, and thus in some embodiments there is no gap between the source/drain epitaxial region 80A and the gate structure 75A spacers. In some embodiments, a distance between the source/drain epitaxial regions 80B and the gate structure 75B is greater than a distance between the epitaxial source/drain regions 80A and the gate structure 75A.

Wie in den 11B veranschaulicht, vertieft das Ätzen der LDD-Bereiche innerhalb der Finnen 64A eine obere Oberfläche 64AU der Finnen 64A. Das Ätzen des LDD-Bereichs innerhalb der Finnen 64A kann auch Abschnitte der dielektrischen Schicht 66, die über der oberen Oberfläche 64AU angeordnet ist, wie in 11B veranschaulicht, entfernen, obwohl bei anderen Ausführungsformen das Ätzen des LDD-Bereichs innerhalb der Finnen 64A die dielektrische Schicht 66 nicht entfernt. Bei der veranschaulichten Ausführungsform der 11B liegt die vertiefte obere Oberfläche 64AU über der oberen Oberfläche 62U der Isolationsbereiche 62. Bei anderen Ausführungsformen kann die vertiefte obere Oberfläche der Finnen 64A unter (siehe zum Beispiel 64AU'') oder bündig mit (siehe zum Beispiel 64AU') der oberen Oberfläche 62U der Isolationsbereiche 62 liegen. Wie in den 11B und 11C veranschaulicht, erstreckt sich eine obere Oberfläche 64BU der Finnen 64B weiter von der oberen Oberfläche 62U der Isolationsbereiche 62 weg als die vertiefte obere Oberfläche 64AU/64AU'/64AU'' der Finnen 64A. Bei einigen Ausführungsformen ist die obere Oberfläche 64BU um etwa 18 nm bis etwa 23 nm höher (erstreckt sich zum Beispiel weiter von der oberen Oberfläche 62U weg) als die vertiefte obere Oberfläche 64AU/64AU'/64AU''.As in the 11B As illustrated, etching the LDD regions within fins 64A recesses a top surface 64AU of fins 64A. Etching the LDD region within fins 64A may also remove portions of dielectric layer 66 overlying top surface 64AU, as shown in FIG 11B 11-16, although in other embodiments etching the LDD region within fins 64A does not remove dielectric layer 66. FIG. In the illustrated embodiment of FIG 11B the recessed top surface 64AU lies above the top surface 62U of the isolation regions 62. In other embodiments, the recessed top surface of the fins 64A may under (see e.g. 64AU'') or flush with (see e.g. 64AU') the top surface 62U of the Isolation areas 62 are. As in the 11B and 11C As illustrated, a top surface 64BU of fins 64B extends further away from top surface 62U of isolation regions 62 than recessed top surface 64AU/64AU'/64AU'' of fins 64A. In some embodiments, top surface 64BU is higher (e.g., extends farther from top surface 62U) than recessed top surface 64AU/64AU'/64AU'' by about 18 nm to about 23 nm.

Unter Bezugnahme auf 11B wächst als ein Resultat des Ätzens der LDD-Bereiche innerhalb der Finnen 64A ein Unterabschnitt der epitaktischen Source-/Drainbereiche 80A in der Vertiefung zwischen der ersten Abstandsschicht 86 zuerst. Sobald die Vertiefung gefüllt ist, werden obere Abschnitte der epitaktischen Source-/Drain-Bereiche 80A über den Finnen 64 A gebildet und können sich vereinen, um kontinuierliche Source-/Drain-Bereiche 80A zu bilden. Bei einigen Ausführungsformen kontaktiert eine unterste Oberfläche 80AL der epitaktischen Source-/Drain-Bereiche 80A die vertiefte obere Oberfläche 64AU/64AU'/64AU'' der Finne 64A, wie in 11B veranschaulicht. Im Gegensatz dazu kann eine unterste Oberfläche 80BL der epitaktischen Source-/Drain-Bereiche 80B unter der oberen Oberfläche 64BU der Finne 64B, wie in 11C veranschaulicht, liegen. Bei einigen Ausführungsformen liegt die unterste Oberfläche 80AL der epitaktischen Source-/Drain-Bereiche 80A tiefer (zum Beispiel näher an der oberen Hauptoberfläche 50U des Substrats 50) als die unterste Oberfläche 80BL der epitaktischen Source-/Drain-Bereiche 80B.With reference to 11B As a result of etching the LDD regions within the fins 64A, a sub-section of the source/drain epitaxial regions 80A grows in the recess between the first spacer layer 86 first. Once the recess is filled, upper portions of epitaxial source/drain regions 80A are formed over fins 64A and may combine to form continuous source/drain regions 80A. In some embodiments, a bottom surface 80AL of epitaxial source/drain regions 80A contacts recessed top surface 64AU/64AU'/64AU'' of fin 64A, as shown in FIG 11B illustrated. In contrast, a bottom surface 80BL of epitaxial source/drain regions 80B may be below top surface 64BU of fin 64B, as shown in FIG 11C illustrated lying. In some embodiments, the bottom surface 80AL of the source/drain epitaxial regions 80A is lower (e.g., closer to the top major surface 50U of the substrate 50) than the bottom surface 80BL of the source/drain epitaxial regions 80B.

Wie in den 11A und 11B veranschaulicht, können die epitaktischen Source-/Drain-Bereiche 80A aufweisen, die über jeweilige Oberflächen der Finnen 64A erhöhte Oberflächen haben (zum Beispiel über die nicht vertieften Abschnitte der Finnen 64A erhoben) und können Facetten haben. Die Source-/Drain-Bereiche 80A der benachbarten Finnen 64A können sich vereinen, um einen kontinuierlichen epitaktischen Source-/Drain-Bereich 80A zu bilden. Bei einigen Ausführungsformen ist der resultierende FinFET in dem Bereich 200 einen N-Typ-FinFET, und Source-/Drain-Bereiche 80A umfassen Siliziumkarbid (SiC), Siliziumphosphor (SiP), phosphordotierten Silizium-Kohlenstoff (SiCP) oder dergleichen.As in the 11A and 11B As illustrated, the source/drain epitaxial regions 80A may include raised surfaces above respective surfaces of the fins 64A (e.g., raised above the non-recessed portions of the fins 64A) and may have facets. The source/drain regions 80A of the adjacent fins 64A may combine to form a continuous epitaxial source/drain region 80A. In some embodiments, the resulting FinFET in region 200 is an N-type FinFET, and source/drain regions 80A include silicon carbide (SiC), silicon phosphorus (SiP), phosphorus-doped silicon-carbon (SiCP), or the like.

Die epitaktischen Source-/Drain-Bereiche 80A können mit Dotierstoffen gefolgt von einem Glühen implantiert werden. Die Source-/Drain-Bereiche 80A können eine Verunreinigungs-(zum Beispiel Dotierstoff)-Konzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche in situ während des Aufwachsens dotiert werden.The epitaxial source/drain regions 80A can be implanted with dopants followed by an anneal. The source/drain regions 80A may have an impurity (e.g., dopant) concentration in a range from about 10 19 cm -3 to about 10 21 cm -3 . In some embodiments, the source/drain epitaxial regions may be doped in situ during growth.

Wie in den 12A bis 12C veranschaulicht, wird dann eine Kontaktätzstoppschicht (CESL) 105 (zum Beispiel formangeglichen) über der Struktur, die in den 11A bis 11C gezeigt ist, gebildet, und danach wird ein erstes Grenzschichtdielektrikum (ILD) 90 über der CESL 105 gebildet. Die CESL kann ein beliebiges zweckdienliches Material, wie TiN, aufweisen und kann durch ein zweckdienliches Verfahren, wie PVD, CVD oder dergleichen gebildet werden. Bei einigen Ausführungsformen wird das erste ILD 90 aus einem dielektrischen Material wie Siliziumoxid, Phosphorglas (Phosphosilicate Glass - PSG), Bor-dotiertem Siliziumglas (Boron doped Silicon Glass - BSG), und undotiertem Siliziumglas (Undoped Silicate Glass - USG) oder dergleichen gebildet und kann durch ein beliebiges zweckdienliches Verfahren, wie CVD, PECVD oder FCVD, abgeschieden werden. Ein Planarisierungsprozess, wie ein CMP-Prozess, kann ausgeführt werden, um die obere Oberfläche des ersten ILD 90 zu planarisieren, so dass die obere Oberfläche des ersten ILD 90 mit der oberen Oberfläche des Gates 68 niveaugleich ist. Die Maske 70 (siehe 11A), Abschnitte der ersten Abstandsschicht 86 und Abschnitte der CESL 105 über der oberen Oberfläche des Gates 68 können durch den CMP-Prozess entfernt werden. Nach dem CMP-Prozess ist folglich bei einigen Ausführungsformen die obere Oberfläche des Gates 86 freigelegt. Bei dem Beispiel der 12B und 12C existiert eine Luftlücke zwischen dem epitaktischen Source-/Drain-Bereich 80A (oder 80B) und den darunterliegenden Isolationsbereichen 62.As in the 12A until 12C 1, a contact etch stop layer (CESL) 105 (e.g., conformed) is then deposited over the structure shown in FIGS 11A until 11C 1 is formed, and thereafter a first interface dielectric (ILD) 90 is formed over the CESL 105 . The CESL can comprise any convenient material, such as TiN, and can be formed by any convenient method, such as PVD, CVD, or the like. In some embodiments, the first ILD 90 is formed from a dielectric material such as silicon oxide, phosphosilicate glass (PSG), boron doped silicon glass (BSG), and undoped silicate glass (USG) or the like and can be deposited by any convenient method such as CVD, PECVD or FCVD. A planarization process, such as a CMP process, may be performed to planarize the top surface of the first ILD 90 such that the top surface of the first ILD 90 is level with the top surface of the gate 68 . The mask 70 (see 11A ), portions of the first spacer layer 86, and portions of the CESL 105 over the top surface of the gate 68 may be removed by the CMP process. Thus, after the CMP process, the top surface of the gate 86 is exposed in some embodiments. In the example of 12B and 12C An air gap exists between the epitaxial source/drain region 80A (or 80B) and the underlying isolation regions 62.

Die 13 bis 16 veranschaulichen Querschnittansichten des FinFET-Bauteils 100 bei weiteren Verarbeitungsschritten entlang des Querschnitts I-I. Wie in 13 veranschaulicht, wird ein Gate-Last-Prozess (gelegentlich Ersatz-Gate-Prozess) ausgeführt. Bei einem Gate-Last-Prozess werden das Gate 68 und das Gate-Dielektrikum 66 (siehe 12A) als Dummy-Strukturen betrachtet und werden entfernt und mit einem aktiven Gate (auch ein Ersatz-Gate genannt) und einem aktiven Gate-Dielektrikum ersetzt. Bei einigen Ausführungsformen ist das aktive Gate ein Metall-Gate.The 13 until 16 12 illustrate cross-sectional views of the FinFET device 100 at further processing steps along cross-section II. As in FIG 13 illustrated, a gate-last process (occasionally replacement Gate-Pro cess) executed. In a gate-last process, the gate 68 and gate dielectric 66 (see Fig 12A ) are considered as dummy structures and are removed and replaced with an active gate (also called a replacement gate) and an active gate dielectric. In some embodiments, the active gate is a metal gate.

Unter Bezugnahme auf 13 werden das Gate 68 und das Gate-Dielektrikum 66 direkt unter dem Gate 68 bei einem bzw. mehreren Ätzschritten entfernt, so dass Vertiefungen (nicht gezeigt) zwischen jeweiligen Abstandsschichten 86 gebildet werden. Die Vertiefungen werden durch darauffolgendes Bilden einer dielektrischen Gate-Schicht 96, einer Sperrschicht 94, einer Keimschicht 92 und einer Gate-Elektrode 98 in den Vertiefungen gefüllt.With reference to 13 the gate 68 and the gate dielectric 66 directly under the gate 68 are removed in one or more etch steps, respectively, such that depressions (not shown) are formed between respective spacer layers 86 . The recesses are filled by subsequently forming a gate dielectric layer 96, a barrier layer 94, a seed layer 92 and a gate electrode 98 in the recesses.

Bei einigen Ausführungsformen wird die dielektrische Gate-Schicht 96 formangeglichen in den Vertiefungen gebildet. Die dielektrische Gate-Schicht 96 kann Siliziumdioxid aufweisen. Das Siliziumoxid kann durch zweckdienliche Oxidations- und/oder Abscheidungsverfahren gebildet werden. Bei einigen Ausführungsformen weist die dielektrische Gate-Schicht 96 eine dielektrische High-x-Schicht wie Hafniumoxid (HfO2) auf. Alternativ kann die dielektrische High-x-Schicht andere High-κ-Dielektrika aufweisen, wie TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon oder anderes zweckdienliches Material. Die dielektrische High-x-Schicht kann durch ALD, PVD, CVD oder andere zweckdienliche Verfahren gebildet werden.In some embodiments, the gate dielectric layer 96 is conformally formed in the recesses. Gate dielectric layer 96 may include silicon dioxide. The silicon oxide may be formed by any convenient oxidation and/or deposition process. In some embodiments, the gate dielectric layer 96 comprises a high-x dielectric layer such as hafnium oxide (HfO 2 ). Alternatively, the high-x dielectric layer may comprise other high-κ dielectrics such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , combinations thereof, or other appropriate material. The high-x dielectric layer can be formed by ALD, PVD, CVD, or other appropriate methods.

Dann wird eine Sperrschicht 94 formangeglichen über der dielektrischen Gate-Schicht 96 gebildet. Die Sperrschicht 94 kann Herausdiffundieren des Materials einer anschließend gebildeten Gate-Elektrode (zum Beispiel 98) verhindern oder verringern. Die Sperrschicht 94 kann ein leitendes Material wie Titannitrid umfassen, obwohl andere Materialien, wie Tantalnitrid, Titan, Tantal oder dergleichen, alternativ verwendet werden können. Die Sperrschicht kann 94 unter Verwenden eines CVD-Prozesses, wie plasmaverstärkte CVD (PECVD), gebildet werden. Andere alternative Prozesse, wie Sputtern oder metallorganische-chemische Gasphasenabscheidung (Metal Organic Chemical Vapor Deposition - MOCVD), ALD, können alternativ verwendet werden.A barrier layer 94 is then conformally formed over gate dielectric layer 96 . The barrier layer 94 may prevent or reduce material out-diffusion of a subsequently formed gate electrode (e.g., 98). Barrier layer 94 may comprise a conductive material such as titanium nitride, although other materials such as tantalum nitride, titanium, tantalum, or the like may alternatively be used. The barrier layer 94 may be formed using a CVD process such as plasma enhanced CVD (PECVD). Other alternative processes such as sputtering or Metal Organic Chemical Vapor Deposition (MOCVD), ALD, may alternatively be used.

Dann wird eine Keimschicht 92 formangeglichen über der dielektrischen Sperrschicht 94 gebildet. Die Keimschicht kann Kupfer (Cu), Titan (Ti), Tantal (Ta), Titannitrid (TiN), Tantalnitrid (TaN), dergleichen oder eine Kombination davon aufweisen und kann durch Atomschichtabscheidung (Atomic Layer Deposition - ALD), Sputtern, physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht, die eine Mehrzahl von Subschichten, die aus unterschiedlichen Materialien gebildet sind, umfasst. Bei einigen Ausführungsformen umfasst die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht.A seed layer 92 is then conformedly formed over the dielectric barrier layer 94 . The seed layer may comprise copper (Cu), titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), the like, or a combination thereof and may be formed by atomic layer deposition (ALD), sputtering, physical vapor deposition (Physical Vapor Deposition - PVD) or the like are deposited. In some embodiments, the seed layer is a metal layer, comprising a single layer or a composite layer comprising a plurality of sub-layers formed from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer.

Dann wird ein leitfähiges Material über der Keimschicht gebildet, um die Vertiefungen zu füllen, um die Gate-Elektrode 98 zu bilden. Das leitende Material kann Wolfram umfassen, obwohl andere zweckdienliche Materialien, wie Aluminium, Kupfer, Ruthenium, Silber, Gold, Rhodium, Molybdän, Nickel, Kobalt, Cadmium, Zink, Legierungen davon, Kombinationen davon und dergleichen, alternativ eingesetzt werden können. Das leitende Material kann durch Galvanisieren, PVD, CVD oder ein beliebiges zweckdienliches Abscheidungsverfahren gebildet werden. Ein Planarisierungsprozess, wie ein CMP, kann ausgeführt werden, um überschüssige Abschnitte der dielektrischen Gate-Schicht 96, der Sperrschicht 94, der Keimschicht 92 und der Gate-Elektrode 98 zu entfernen, wobei überschüssige Abschnitte zum Beispiel über der oberen Oberfläche des ersten ILD 90 angeordnet sind. Die verbleibenden Abschnitte der dielektrischen Gate-Schicht 96, der Sperrschicht 94, der Keimschicht 92 und der Gate-Elektrode 98 in den Vertiefungen bilden die Ersatz-Gates 97 des FinFET-Bauteils 100.A conductive material is then formed over the seed layer to fill the recesses to form gate electrode 98 . The conductive material may comprise tungsten, although other suitable materials such as aluminum, copper, ruthenium, silver, gold, rhodium, molybdenum, nickel, cobalt, cadmium, zinc, alloys thereof, combinations thereof, and the like may alternatively be employed. The conductive material can be formed by electroplating, PVD, CVD, or any convenient deposition process. A planarization process, such as a CMP, may be performed to remove excess portions of gate dielectric layer 96, barrier layer 94, seed layer 92, and gate electrode 98, leaving excess portions, for example, over the top surface of first ILD 90 are arranged. The remaining portions of the gate dielectric layer 96, the barrier layer 94, the seed layer 92, and the gate electrode 98 in the recesses form the replacement gates 97 of the FinFET device 100.

Dann wird unter Bezugnahme auf 14 ein zweites ILD 95 über dem ersten ILD 90 abgeschieden. Bei einer Ausführungsform ist das zweite ILD 95 eine fließbare Folie, die anhand eines fließbaren CVD-Verfahrens gebildet wird. Bei einigen Ausführungsformen wird das zweite ILD 95 aus einem dielektrischen Material, wie PSG, BSG, BPSG, USG oder dergleichen, gebildet und kann anhand eines beliebigen zweckdienlichen Verfahrens, wie CVD und PECVD, abgeschieden werden. Kontaktöffnungen 91 und 93 für Kontaktstöpsel 102 (siehe 16) werden durch das erste ILD 90 und/oder das zweite ILD 95 gebildet. Die Kontaktöffnung 91 wird zum Beispiel durch das zweite ILD 95 gebildet und legt das Ersatz-Gate 97 frei, während die Kontaktöffnungen 93 durch das erste ILD 90 und das zweite ILD 95 gebildet werden und Source-/Drain-Bereiche 80A/80B freilegen.Then referring to 14 a second ILD 95 is deposited over the first ILD 90. In one embodiment, the second ILD 95 is a flowable film formed using a flowable CVD process. In some embodiments, the second ILD 95 is formed from a dielectric material, such as PSG, BSG, BPSG, USG, or the like, and may be deposited using any convenient method, such as CVD and PECVD. Contact openings 91 and 93 for contact plugs 102 (see 16 ) are formed by the first ILD 90 and/or the second ILD 95. Contact opening 91 is formed through, for example, second ILD 95 and exposes replacement gate 97, while contact openings 93 are formed through first ILD 90 and second ILD 95 and expose source/drain regions 80A/80B.

Dann werden in 15 Silizidbereiche 82 in den Source-/Drain-Bereichen 80A/80B gebildet, und eine Sperrschicht 104 wird über den Silizidbereichen 82 und dem zweiten ILD 95 gebildet. Bei einigen Ausführungsformen werden die Silizidbereiche 82 durch Abscheiden über den Source-/Drain-Bereichen 80A/80B eines Metalls gebildet, das zum Reagieren mit Halbleitermaterialien fähig ist (zum Beispiel Silizium, Germanium), um Silizid- oder Germanid-Bereiche zu bilden. Das Metall kann Nickel, Cobalt, Titan, Tantal, Platin, Wolfram oder andere Edelmetalle, andere feuerfeste Metalle, Seltenerdmetalle oder ihre Legierungen sein. Ein thermischer Glühprozess wird dann ausgeführt, so dass das abgeschiedene Metall mit den Source-/Drain-Bereichen 80A/80B reagiert, um Silizid-Bereiche 82 zu bilden. Nach dem thermischen Glühprozess wird Metall, das nicht reagiert hat, entfernt.Then be in 15 Silicide regions 82 are formed in the source/drain regions 80A/80B and a barrier layer 104 is formed over the silicide regions 82 and the second ILD 95. FIG. In some embodiments, the silicide regions 82 are formed by depositing over the source/drain regions 80A/80B a metal capable of reacting with semiconductor materials (e.g., silicon, germanium) to form silicide or germanide regions. The metal can be nickel, cobalt, titanium, Tantalum, platinum, tungsten or other precious metals, other refractory metals, rare earth metals or their alloys. A thermal anneal process is then performed so that the deposited metal reacts with the source/drain regions 80A/80B to form silicide regions 82. FIG. After the thermal annealing process, unreacted metal is removed.

Die Sperrschicht 104 wird formangeglichen über den Silizid-Bereichen 82 und dem zweiten ILD 95 gebildet und überzieht Seitenwände und Gründe der Kontaktöffnungen 91/93. Die Sperrschicht 104 kann ein elektrisch leitendes Material wie Titan (Ti), Titannitrid (TiN), Tantal (Ta), Tantalnitrid (TaN) oder dergleichen, umfassen und kann unter Verwenden eines CVD-Prozesses, wie einer plasmaverstärkten CVD (PECVD) gebildet werden. Andere alternative Prozesse, wie Sputtern oder metallorganische-chemische Gasphasenabscheidung (MOCVD), physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) Atomschichtabscheidung (ALD) können alternativ verwendet werden.Barrier layer 104 is conformally formed over silicide regions 82 and second ILD 95 and lines sidewalls and bottoms of contact openings 91/93. The barrier layer 104 may comprise an electrically conductive material, such as titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), or the like, and may be formed using a CVD process, such as plasma enhanced CVD (PECVD). . Other alternative processes such as sputtering or metal-organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD) atomic layer deposition (ALD) may alternatively be used.

Dann wird in 16 eine Keimschicht 109 über der Sperrschicht 104 gebildet, und ein elektrisch leitendes Material 110 wird über der Keimschicht 109 gebildet. Die Keimschicht 109 kann durch PVD, ALD oder CVD abgeschieden werden und kann aus Wolfram, Kupfer oder Kupferlegierungen gebildet werden, obwohl andere zweckdienliche Verfahren und Materialien alternativ verwendet werden können.Then in 16 a seed layer 109 is formed over the barrier layer 104 and an electrically conductive material 110 is formed over the seed layer 109 . The seed layer 109 can be deposited by PVD, ALD, or CVD and can be formed of tungsten, copper, or copper alloys, although other suitable methods and materials can alternatively be used.

Sobald die Keimschicht 109 gebildet wurde, kann das leitende Material 110 auf der Keimschicht 109 gebildet werden, um die Kontaktöffnungen 91/93 zu füllen. Das leitende Material 110 kann Wolfram umfassen, obwohl andere zweckdienliche Materialien wie Aluminium, Kupfer, Wolframnitrid, Ruthenium, Silber, Gold, Rhodium, Molybdän, Nickel, Kobalt, Cadmium, Zink, Legierungen davon, Kombinationen davon und dergleichen, alternativ eingesetzt werden können. Jedes beliebige zweckdienliche Abscheidungsverfahren, wie PVD, CVD, ALD, Galvanisieren (zum Beispiel elektrisches Galvanisieren) und Reflow, kann zum Bilden des leitenden Materials 110 verwendet werden.Once the seed layer 109 has been formed, the conductive material 110 can be formed on the seed layer 109 to fill the contact openings 91/93. Conductive material 110 may include tungsten, although other suitable materials such as aluminum, copper, tungsten nitride, ruthenium, silver, gold, rhodium, molybdenum, nickel, cobalt, cadmium, zinc, alloys thereof, combinations thereof, and the like may alternatively be employed. Any convenient deposition method such as PVD, CVD, ALD, plating (e.g., electroplating), and reflow may be used to form the conductive material 110 .

Sobald die Kontaktöffnungen 91/93 gefüllt wurden, können überschüssige Sperrschicht 104, Keimschicht 109 und überschüssiges leitendes Material 110 außerhalb der Kontaktöffnungen 91/93 durch einen Planarisierungsprozesses, wie CMP, entfernt werden, obwohl jeder beliebige zweckdienliche Entfernungsprozess verwendet werden kann. Kontaktstöpsel 102 werden daher in den Kontaktöffnungen 91/93 gebildet. Obwohl Kontaktstöpsel 102 über den Source-/Drain-Bereichen 80A/80B und über dem Ersatz-Gate 97 in einem selben Querschnitt in 16 veranschaulicht sind, können die Kontaktstöpsel 102 in unterschiedlichen Querschnitten in dem FinFET-Bauteil 100 sein.Once contact openings 91/93 have been filled, excess barrier layer 104, seed layer 109, and excess conductive material 110 outside of contact openings 91/93 may be removed by a planarization process such as CMP, although any convenient removal process may be used. Contact plugs 102 are therefore formed in the contact openings 91/93. Although contact plugs 102 over the source/drain regions 80A/80B and over the replacement gate 97 are in a same cross-section in FIG 16 1, the contact plugs 102 may be in different cross-sections in the FinFET device 100. FIG.

17 veranschaulicht ein Ablaufdiagramm eines Verfahrens zum Bilden eines Halbleiterbauteils in Übereinstimmung mit einigen Ausführungsformen. Man muss verstehen, dass das in 17 gezeigte Ausführungsverfahren nur ein Beispiel vieler möglicher Ausführungsverfahren ist. Ein Durchschnittsfachmann würde viele Variationen, Alternativen und Änderungen erkennen. Diverse Schritte, wie in 17 veranschaulicht, können zum Beispiel hinzugefügt, entfernt, ersetzt, neu angeordnet und wiederholt werden. 17 1 illustrates a flow diagram of a method of forming a semiconductor device in accordance with some embodiments. You have to understand that the in 17 The execution method shown is just one example of many possible execution methods. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. Various steps as in 17 illustrated may be added, removed, replaced, rearranged, and repeated, for example.

Unter Bezugnahme auf 17 wird bei Schritt 1010 eine erste Finne über einem Substrat vorragend gebildet, wobei die erste Finne einen PMOS-Bereich und einen NMOS-Bereich aufweist. Bei Schritt 1020 wird eine erste Gate-Struktur über der ersten Finne in dem PMOS-Bereich gebildet. Bei Schritt 1030 wird eine erste Abstandsschicht über der ersten Finne und der ersten Gate-Struktur gebildet. Bei Schritt 1040 wird eine zweite Abstandsschicht über der ersten Abstandsschicht gebildet. Bei Schritt 1050 wird ein erster Ätzprozess ausgeführt, um die zweite Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich zu entfernen. Bei Schritt 1060 wird ein zweiter Ätzprozess ausgeführt, um die erste Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich zu entfernen. Bei Schritt 1070 wird ein erstes Source-/Drain-Material epitaktisch über der ersten Finne in dem PMOS-Bereich aufgewachsen, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich erstreckt.With reference to 17 at step 1010, a first fin is formed overhanging a substrate, the first fin having a PMOS region and an NMOS region. At step 1020, a first gate structure is formed over the first fin in the PMOS region. At step 1030, a first spacer layer is formed over the first fin and the first gate structure. At step 1040, a second spacer layer is formed over the first spacer layer. At step 1050, a first etch process is performed to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region. At step 1060, a second etch process is performed to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region. At step 1070, a first source/drain material is epitaxially grown over the first fin in the PMOS area, the first source/drain material extending along the top surface and sidewalls of the first fin in the PMOS area .

Ausführungsformen können Vorteile erzielen. Die offenbarte mehrschichtige Abstandstruktur mit der ersten Abstandsschicht 86 und der zweiten Abstandsschicht-Struktur 84, gemeinsam mit dem offenbarten Ätzprozess (zum Beispiel Trockenätzen gefolgt von Nassätzen), entfernt die Abstandsschichten (zum Beispiel 84 und 86) von der oberen Oberfläche und Seitenwänden der Finnen 64B, während Abschnitte der Abstandsschichten auf den Seitenwänden der Gate-Struktur 75B behalten werden. Die Mantel-Epitaxiestruktur (zum Beispiel 80B) wird auf der oberen Oberfläche und den Seitenwänden der Finnen 65B mit einem großen Volumen gebildet, was in verbesserter Bauteilleistung, wie niedrigerem Draininduziertem Sperrverlust (DIBL), höherem ON-Strom Ion, niedrigerem Kontaktwiderstand und verbesserter Bauteilzuverlässigkeit resultiert. Zusätzlich wird Beschädigung an der Gate-Struktur 75B und den Finnen 64B verringert, was in besserer Steuerung des Profils des gebildeten FinFET-Bauteils resultiert. Des Weiteren wird der Ladeeffekt zwischen inneren Abschnitten der Isolationsbereiche 62 und äußeren Abschnitten der Isolationsbereiche 62 verringert. Ein anderer Vorteil ist verbesserte Beanspruchung des PMOS-Kanals aufgrund der Mantel-Epitaxiestruktur, die durch die vorliegende Offenbarung ermöglicht wird.Embodiments can achieve advantages. The disclosed multilayer spacer structure including the first spacer layer 86 and the second spacer layer structure 84, along with the disclosed etch process (e.g., dry etch followed by wet etch), removes the spacer layers (e.g., 84 and 86) from the top surface and sidewalls of the fins 64B , while retaining portions of the spacer layers on the sidewalls of gate structure 75B. The cladding epitaxial structure (e.g. 80B) is formed on the top surface and sidewalls of the fins 65B with a large volume, resulting in improved device performance, such as lower drain induced reverse leakage (DIBL), higher ON current I on , lower contact resistance and improved component reliability results. Additionally, damage to the gate structure 75B and fins 64B is reduced, resulting in better control of the profile of the FinFET device formed. Furthermore, the loading effect between tian inner portions of the isolation regions 62 and outer portions of the isolation regions 62 is reduced. Another benefit is improved PMOS channel stress due to the cladding epitaxial structure enabled by the present disclosure.

Die Erfindung betrifft ein Verfahren, das umfasst: Bilden einer ersten Finne, die über einem Substrat vorragt, auf, wobei die erste Finne einen PMOS-Bereich hat; das Bilden einer ersten Gate-Struktur über der ersten Finne in dem PMOS-Bereich; das Bilden einer ersten Abstandsschicht über der ersten Finne und der ersten Gate-Struktur; das Bilden einer zweiten Abstandsschicht über der ersten Abstandsschicht; das Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; das Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich; und das epitaktische Aufwachsen eines ersten Source-/Drain-Materials über der ersten Finne in dem PMOS-Bereich, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich erstreckt. Bei einer Ausführungsform werden die erste Abstandsschicht und die zweite Abstandsschicht aus unterschiedlichen Materialien gebildet. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines anisotropen Ätzprozesses. Bei einer Ausführungsform wird nach dem Ausführen des ersten Ätzprozesses die erste Abstandsschicht über der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich freigelegt, und ein verbleibender Abschnitt der zweiten Abstandsschicht erstreckt sich entlang von Seitenwänden der ersten Gate-Struktur, und die erste Abstandsschicht liegt zwischen dem verbleibenden Abschnitt der zweiten Abstandsschicht und der ersten Gate-Struktur. Bei einer Ausführungsform legte der zweite Ätzprozess die obere Oberfläche und die Seitenwände der ersten Finne in dem PMOS-Bereich frei. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses, wobei der Plasma-Ätzprozess einen ersten Plasma-Ätzschritt und einen zweiten Plasma-Ätzschritt umfasst, wobei der erste Plasma-Ätzschritt unter Verwenden von Tetrafluormethan (CF4) ausgeführt wird, und der zweite Plasma-Ätzschritt unter Verwenden von Sauerstoff (O2) ausgeführt wird. Bei einer Ausführungsform weist das Ausführen des zweiten Ätzprozesses das Ausführen eines chemischen Ätzprozesses auf, wobei der chemische Ätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden, aufweist. Bei einer Ausführungsform wird der erste Schritt unter Verwenden eines Gemischs ausgeführt, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt wird unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt, der dritte Schritt wird unter Verwenden von Phosphorsäure (H3PO4) ausgeführt, und der vierte Schritt wird unter Verwenden des STD-Reinigungsfluids ausgeführt, das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst. Bei einer Ausführungsform weist die erste Finne weiter einen NMOS-Bereich auf, wobei das Verfahren weiter das Bilden einer zweiten Gate-Struktur über der ersten Finne in dem NMOS-Bereich aufweist, wobei die erste Abstandsschicht und die zweite Abstandsschicht über der zweiten Gate-Struktur gebildet werden, das Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich vor dem Ausführen des ersten Ätzprozesses abzudecken; und das Entfernen der strukturierten Maskenschicht nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials. Bei einer Ausführungsform weist das Verfahren weiter nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials das Entfernen verbleibender Abschnitte der zweiten Abstandsschicht in dem PMOS-Bereich und dem NMOS-Bereich; und das epitaktische Aufwachsen eines zweiten Source-/Drain-Materials über der ersten Finne in dem NMOS-Bereich auf. Bei einer Ausführungsform weist das epitaktische Aufwachsen des zweiten Source-/Drain-Materials das Entfernen eines Abschnitts der ersten Abstandsschicht auf, um eine obere Oberfläche der ersten Finne in dem NMOS-Bereich freizulegen; das Vertiefen der oberen Oberfläche der ersten Finne in dem NMOS-Bereich; und das epitaktische Aufwachsen des zweiten Source-/Drain-Materials über der vertieften oberen Oberfläche der ersten Finne in dem NMOS-Bereich.The invention relates to a method comprising: forming a first fin protruding over a substrate, the first fin having a PMOS region; forming a first gate structure over the first fin in the PMOS area; forming a first spacer layer over the first fin and the first gate structure; forming a second spacer layer over the first spacer layer; performing a first etch process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; performing a second etch process to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region; and epitaxially growing a first source/drain material over the first fin in the PMOS area, the first source/drain material extending along the top surface and sidewalls of the first fin in the PMOS area. In one embodiment, the first spacer layer and the second spacer layer are formed from different materials. In one embodiment, performing the first etch process includes performing an anisotropic etch process. In one embodiment, after performing the first etch process, the first spacer layer is exposed over the top surface and sidewalls of the first fin in the PMOS region, and a remaining portion of the second spacer layer extends along sidewalls of the first gate structure, and the first spacer layer lies between the remaining portion of the second spacer layer and the first gate structure. In one embodiment, the second etch process exposed the top surface and sidewalls of the first fin in the PMOS region. In one embodiment, performing the first etch process includes performing a plasma etch process, the plasma etch process including a first plasma etch step and a second plasma etch step, the first plasma etch step being performed using tetrafluoromethane (CF 4 ). , and the second plasma etching step is performed using oxygen (O 2 ). In one embodiment, performing the second etch process includes performing a chemical etch process, the chemical etch process including a first step, a second step, a third step, and a fourth step performed sequentially. In one embodiment, the first step is performed using a mixture containing hydrogen peroxide (H 2 O 2 ) and ozone (O 3 ), the second step is performed using dilute hydrofluoric acid (dHF), the third step is performed using phosphoric acid (H 3 PO 4 ) and the fourth step is carried out using the STD cleaning fluid which is a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). In one embodiment, the first fin further includes an NMOS area, the method further includes forming a second gate structure over the first fin in the NMOS area, the first spacer layer and the second spacer layer over the second gate structure are formed, forming a patterned mask layer to cover the NMOS region prior to performing the first etch process; and removing the patterned mask layer after epitaxially growing the first source/drain material. In one embodiment, the method further comprises, after epitaxially growing the first source/drain material, removing remaining portions of the second spacer layer in the PMOS region and the NMOS region; and epitaxially growing a second source/drain material over the first fin in the NMOS region. In one embodiment, epitaxially growing the second source/drain material comprises removing a portion of the first spacer layer to expose a top surface of the first fin in the NMOS region; recessing the top surface of the first fin in the NMOS area; and epitaxially growing the second source/drain material over the recessed top surface of the first fin in the NMOS region.

Die Erfindung betrifft ferner ein Verfahren, das umfasst: Bilden einer Finne, die über einem Substrat vorragt, auf, wobei die Finne einen PMOS-Bereich und einen NMOS-Bereich aufweist; das Bilden eines ersten Gates über der Finne in dem PMOS-Bereich; das Bilden eines zweiten Gates über der Finne in dem NMOS-Bereich; das Bilden einer ersten Abstandsschicht über der Finne, dem ersten Gate und dem zweiten Gate; das Bilden einer zweiten Abstandsschicht, die von der ersten Abstandsschicht unterschiedlich ist, über der ersten Abstandsschicht; das Bilden einer strukturierten Maskenschicht zum Abdecken des NMOS-Bereichs, während der PMOS-Bereich freigelegt gelassen wird; und nach dem Bilden der strukturierten Maskenschicht das Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; das Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der Finne in dem PMOS-Bereich, wodurch die obere Oberfläche und die Seitenwände der Finne in dem PMOS-Bereich freigelegt werden; und das epitaktische Aufwachsen eines ersten Halbleitermaterials entlang der oberen Oberfläche und der Seitenwände der Finne in dem PMOS-Bereich. Bei einer Ausführungsform wird die erste Abstandsschicht unter Verwenden eines Materials gebildet, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumoxicarbid, Siliziumoxicarbonitrid und Siliziumcarbonitrid besteht, und wobei die zweite Abstandsschicht unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumnitrid und Siliziumcarbonitrid besteht. Bei einer Ausführungsform weist der erste Ätzprozess einen Trockenätzprozess auf, und der zweite Ätzprozess umfasst einen Nassätzprozess. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses unter Verwenden von Kohlenmotoroxid, Tetrafluormethan, Sauerstoff oder Ozon auf. Bei einer Ausführungsform weist das Verfahren weiter nach dem epitaktischen Aufwachsen des ersten Halbleitermaterials das Entfernen der strukturierten Maskenschicht; das Vertiefen einer oberen Oberfläche der Finne in dem NMOS-Bereich; und das epitaktische Aufwachsen eines zweiten Halbleitermaterials über der vertieften oberen Oberfläche der Finne in dem NMOS-Bereich auf.The invention further relates to a method comprising: forming a fin protruding over a substrate, the fin having a PMOS region and an NMOS region; forming a first gate over the fin in the PMOS area; forming a second gate over the fin in the NMOS area; forming a first spacer layer over the fin, the first gate and the second gate; forming a second spacer layer, distinct from the first spacer layer, over the first spacer layer; forming a patterned mask layer to cover the NMOS area while leaving the PMOS area exposed; and after forming the patterned mask layer, performing a first etch process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; performing a second etch process to remove the first spacer layer from the top surface and the sidewalls of the fin in the PMOS area, exposing the top surface and sidewalls of the fin in the PMOS area; and epitaxially growing a first semiconductor material along the top surface and sidewalls of the fin in the PMOS region. In one embodiment, the first spacer layer is formed using a material selected from the group consisting essentially of silicon oxycarbide, silicon oxycarbonitride, and silicon carbonitride, and the second spacer layer is formed using a material selected from the group which essentially consists of silicon nitride and silicon carbonitride. In one embodiment, the first etch process comprises a dry etch process and the second etch process comprises a wet etch process. In one embodiment, performing the first etch process includes performing a plasma etch process using carbon monoxide, tetrafluoromethane, oxygen, or ozone. In one embodiment, the method further comprises, after epitaxially growing the first semiconductor material, removing the patterned mask layer; recessing a top surface of the fin in the NMOS area; and epitaxially growing a second semiconductor material over the recessed top surface of the fin in the NMOS region.

Die Erfindung betrifft außerdem ein Halbleiterbauteil, das umfasst: eine Finne, die über einem Substrat vorragt, auf, wobei die Finne einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der erste Abschnitt in einem PMOS-Bereich liegt, und der zweite Abschnitt in einem NMOS-Bereich liegt; eine erste Gate-Struktur über dem ersten Abschnitt der Finne in dem PMOS-Bereich; eine zweite Gate-Struktur über dem zweiten Abschnitt der Finne in dem NMOS-Bereich; erste epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der ersten Gate-Struktur und über dem ersten Abschnitt der Finne, wobei die ersten epitaktischen Source-/Drain-Bereiche in dem PMOS-Bereich liegen und sich entlang einer ersten oberen Oberfläche und erster Seitenwände des ersten Abschnitts der Finne erstrecken; und zweite epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der zweiten Gate-Struktur und über dem zweiten Abschnitt der Finne, wobei die zweiten epitaktischen Source-/Drain-Bereiche in dem NMOS-Bereich und über einer zweiten oberen Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich liegen; erste Abstandshalter auf gegenüberliegenden Seitenwänden des zweiten Abschnitts der Finne in dem NMOS-Bereich, wobei gegenüberliegende Seitenwände des ersten Abschnitts der Finne in dem PMOS-Bereich frei von ersten Abstandhaltern sind. Bei einer Ausführungsform kontaktiert eine unterste Oberfläche der zweiten epitaktischen Source-/Drain-Bereiche die zweite obere Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich. Bei einer Ausführungsform erstreckt sich die erste obere Oberfläche des ersten Abschnitts der Finne weiter von dem Substrat als die zweite obere Oberfläche des zweiten Abschnitts der Finne.The invention also relates to a semiconductor device comprising: a fin protruding from a substrate, the fin having a first portion and a second portion, the first portion being in a PMOS region and the second portion being in a PMOS region NMOS area is; a first gate structure over the first portion of the fin in the PMOS area; a second gate structure over the second portion of the fin in the NMOS area; first source/drain epitaxial regions on opposite sides of the first gate structure and over the first portion of the fin, the first source/drain epitaxial regions being in the PMOS region and extending along a first top surface and first sidewalls of the first section of the fin; and second source/drain epitaxial regions on opposite sides of the second gate structure and over the second portion of the fin, the second source/drain epitaxial regions in the NMOS region and over a second top surface of the second portion of the fin are in the NMOS area; first spacers on opposite sidewalls of the second portion of the fin in the NMOS area, wherein opposite sidewalls of the first portion of the fin in the PMOS area are free of first spacers. In one embodiment, a bottom surface of the second epitaxial source/drain regions contacts the second top surface of the second portion of the fin in the NMOS region. In one embodiment, the first top surface of the first portion of the fin extends further from the substrate than the second top surface of the second portion of the fin.

Claims (18)

Verfahren, das Folgendes umfasst: Bilden einer ersten Finne (64), die über einem Substrat (50) vorragt, wobei die erste Finne (64) einen PMOS-Bereich (300) aufweist; Bilden einer ersten Gate-Struktur (75B) über der ersten Finne (64) in dem PMOS-Bereich (300); Bilden einer ersten Abstandsschicht (86) über der ersten Finne (64) und der ersten Gate-Struktur (75B); Bilden einer zweiten Abstandsschicht (84) über der ersten Abstandsschicht (86); Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht (84) von einer oberen Oberfläche und Seitenwänden der ersten Finne (64) in dem PMOS-Bereich (300); Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht (86) von der oberen Oberfläche und den Seitenwänden der ersten Finne (64) in dem PMOS-Bereich (300); und epitaktisches Aufwachsen eines ersten Source-/Drain-Materials (80B) über der ersten Finne (64) in dem PMOS-Bereich (300), wobei sich das erste Source-/Drain-Material (80) entlang der oberen Oberfläche (64U) und der Seitenwände der ersten Finne (64) in dem PMOS-Bereich (300) erstreckt; wobei die erste Finne (64) weiter einen NMOS-Bereich (200) hat, wobei das Verfahren weiter Folgendes umfasst: Bilden einer zweiten Gate-Struktur (75A) über der ersten Finne (64) in dem NMOS-Bereich (200), wobei die erste Abstandsschicht (86) und die zweite Abstandsschicht (84) über der zweiten Gate-Struktur (75A) gebildet werden; Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich (200) vor dem Ausführen des ersten Ätzprozesses abzudecken; und Entfernen der strukturierten Maske nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials (80B).Procedure, which includes: forming a first fin (64) protruding over a substrate (50), the first fin (64) having a PMOS region (300); forming a first gate structure (75B) over the first fin (64) in the PMOS region (300); forming a first spacer layer (86) over the first fin (64) and the first gate structure (75B); forming a second spacer layer (84) over the first spacer layer (86); performing a first etch process to remove the second spacer layer (84) from a top surface and sidewalls of the first fin (64) in the PMOS region (300); performing a second etch process to remove the first spacer layer (86) from the top surface and sidewalls of the first fin (64) in the PMOS region (300); and epitaxially growing a first source/drain material (80B) over the first fin (64) in the PMOS region (300), the first source/drain material (80) along the top surface (64U) and the sidewalls of the first fin (64) extend in the PMOS region (300); wherein the first fin (64) further has an NMOS region (200), the method further comprising: forming a second gate structure (75A) over the first fin (64) in the NMOS region (200), wherein the first spacer layer (86) and the second spacer layer (84) are formed over the second gate structure (75A). ; forming a patterned mask layer to cover the NMOS region (200) prior to performing the first etch process; and removing the structured mask after the epitaxial growth of the first source/drain material (80B). Verfahren nach Anspruch 1, wobei die erste Abstandsschicht (86) und die zweite Abstandsschicht (84) aus unterschiedlichen Materialien gebildet sind.procedure after claim 1 wherein the first spacer layer (86) and the second spacer layer (84) are formed of different materials. Verfahren nach Anspruch 1 oder 2, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines anisotropen Ätzprozesses umfasst.procedure after claim 1 or 2 , wherein performing the first etch process comprises performing an anisotropic etch process. Verfahren nach Anspruch 3, wobei nach dem Ausführen des ersten Ätzprozesses die erste Abstandsschicht (86) über der oberen Oberfläche (64U) und den Seitenwänden der ersten Finne (64) in dem PMOS-Bereich (300) freigelegt wird, und sich ein verbleibender Abschnitt der zweiten Abstandsschicht (84) entlang von Seitenwänden der ersten Gate-Struktur (75B) erstreckt, und wobei die erste Abstandsschicht (86) zwischen dem verbleibenden Abschnitt der zweiten Abstandsschicht (84) und der ersten Gate-Struktur (75B) liegt.procedure after claim 3 , wherein after performing the first etching process, the first spacer layer (86) is exposed over the top surface (64U) and sidewalls of the first fin (64) in the PMOS region (300), and a remaining portion of the second spacer layer (84) along sidewalls of the first gate structure (75B) and wherein the first spacer layer (86) lies between the remaining portion of the second spacer layer (84) and the first gate structure (75B). Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des zweiten Ätzprozesses die obere Oberfläche (64U) und die Seitenwände der ersten Finne (64) in dem PMOS-Bereich (300) freilegt.The method of any preceding claim, wherein performing the second etch process exposes the top surface (64U) and sidewalls of the first fin (64) in the PMOS region (300). Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses umfasst, wobei der Plasma-Ätzprozess einen ersten Plasma-Ätzschritt und einen zweiten Plasma-Ätzschritt umfasst, wobei der erste Plasma-Ätzschritt unter Verwenden von Tetrafluormethan (CF4) ausgeführt wird, und der zweite Plasma-Ätzschritt unter Verwenden von Sauerstoff (O2) ausgeführt wird.The method of any preceding claim, wherein performing the first etch process comprises performing a plasma etch process, the plasma etch process comprising a first plasma etch step and a second plasma etch step, the first plasma etch step using tetrafluoromethane ( CF 4 ) is performed, and the second plasma etch step is performed using oxygen (O 2 ). Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des zweiten Ätzprozesses das Ausführen eines chemischen Ätzprozesses umfasst, wobei der chemische Ätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden, umfasst.The method of any preceding claim, wherein performing the second etch process comprises performing a chemical etch process, the chemical etch process comprising a first step, a second step, a third step and a fourth step performed sequentially. Verfahren nach Anspruch 7, wobei der erste Schritt unter Verwenden eines Gemischs ausgeführt wird, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt wird, der dritte Schritt unter Verwenden von Phosphorsäure (H3PO4) ausgeführt wird, und der vierte Schritt unter Verwenden eines Gemischs ausgeführt wird, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst.procedure after claim 7 , wherein the first step is performed using a mixture containing hydrogen peroxide (H 2 O 2 ) and ozone (O 3 ), the second step is performed using dilute hydrofluoric acid (dHF), the third step is performed using phosphoric acid (H 3 PO 4 ) and the fourth step is carried out using a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). Verfahren nach Anspruch 1, das weiter Folgendes umfasst: nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials (80), Entfernen verbleibender Abschnitte der zweiten Abstandsschicht (84) in dem PMOS-Bereich (300) und in dem NMOS-Bereich (200); und epitaktisches Aufwachsen eines zweiten Source-/Drain-Materials (80A) über der ersten Finne (64) in dem NMOS-Bereich (200).procedure after claim 1 The further comprising: after epitaxially growing the first source/drain material (80), removing remaining portions of the second spacer layer (84) in the PMOS region (300) and in the NMOS region (200); and epitaxially growing a second source/drain material (80A) over the first fin (64) in the NMOS region (200). Verfahren nach Anspruch 9, wobei das epitaktische Aufwachsen des zweiten Source-/Drain-Materials (80a) Folgendes umfasst: Entfernen eines Abschnitts der ersten Abstandsschicht (86), um eine obere Oberfläche (64AU) der ersten Finne (64) in dem NMOS-Bereich (200) freizulegen; Vertiefen der oberen Oberfläche (64AU) der ersten Finne (64) in dem NMOS-Bereich (200); und epitaktisches Aufwachsen des zweiten Source-/Drain-Materials (80A) über der vertieften ersten Oberfläche (64AU) der ersten Finne (64) in dem NMOS-Bereich (200).procedure after claim 9 wherein epitaxially growing the second source/drain material (80a) comprises: removing a portion of the first spacer layer (86) around an upper surface (64AU) of the first fin (64) in the NMOS region (200) to expose recessing the top surface (64AU) of the first fin (64) in the NMOS area (200); and epitaxially growing the second source/drain material (80A) over the recessed first surface (64AU) of the first fin (64) in the NMOS region (200). Verfahren, das Folgendes umfasst: Bilden einer Finne (64), die über einem Substrat (50) vorragt, wobei die Finne (64) einen PMOS-Bereich (300) und einen NMOS-Bereich (200) aufweist; Bilden einer ersten Gate-Struktur (75B) über der Finne (64) in dem PMOS-Bereich (300); Bilden einer zweiten Gate-Struktur (75A) über der Finne (64) in dem NMOS-Bereich (200); Bilden einer ersten Abstandsschicht (84) über der Finne (64), dem ersten Gate (75B) und dem zweiten Gate (75A); Bilden einer zweiten Abstandsschicht (84), die von der ersten Abstandsschicht (86) unterschiedlich ist, über der ersten Abstandsschicht (86); Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich (200) abzudecken, während der PMOS-Bereich (300) freigelegt bleibt; und nach dem Bilden der strukturierten Maskenschicht, Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht (84) von einer oberen Oberfläche (64BU) und Seitenwänden der Finne (64) in dem PMOS-Bereich (300); Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht (86) von der oberen Oberfläche (64AU) und den Seitenwänden der Finne (64) in dem PMOS-Bereich (300), wodurch die obere Oberfläche (64AU) und die Seitenwände der Finne (64) in dem PMOS-Bereich (300) freigelegt werden; und epitaktisches Aufwachsen eines ersten Halbleitermaterials (80B) entlang der oberen Oberfläche (64BU) und der Seitenwände der Finne (64) in dem PMOS-Bereich (300).Procedure, which includes: forming a fin (64) protruding over a substrate (50), the fin (64) having a PMOS region (300) and an NMOS region (200); forming a first gate structure (75B) over the fin (64) in the PMOS region (300); forming a second gate structure (75A) over the fin (64) in the NMOS region (200); forming a first spacer layer (84) over the fin (64), the first gate (75B) and the second gate (75A); forming a second spacer layer (84), distinct from the first spacer layer (86), over the first spacer layer (86); forming a patterned mask layer to cover the NMOS region (200) while leaving the PMOS region (300) exposed; and after forming the patterned mask layer, performing a first etch process to remove the second spacer layer (84) from a top surface (64BU) and sidewalls of the fin (64) in the PMOS region (300); performing a second etch process to remove the first spacer layer (86) from the top surface (64AU) and sidewalls of the fin (64) in the PMOS region (300), thereby exposing the top surface (64AU) and sidewalls of the fin (64 ) are exposed in the PMOS region (300); and epitaxially growing a first semiconductor material (80B) along the top surface (64BU) and sidewalls of the fin (64) in the PMOS region (300). Verfahren nach Anspruch 11, wobei die erste Abstandsschicht (86) unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumoxicarbid, Siliziumoxicarbonitrid und Siliziumcarbonitrid besteht, und wobei die zweite Abstandsschicht (84) unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumnitrid und Siliziumcarbonitrid besteht.procedure after claim 11 wherein the first spacer layer (86) is formed using a material selected from the group consisting essentially of silicon oxycarbide, silicon oxycarbonitride and silicon carbonitride, and wherein the second spacer layer (84) is formed using a material consisting of is selected from the group consisting essentially of silicon nitride and silicon carbonitride. Verfahren nach Anspruch 11 oder 12, wobei der erste Ätzprozess einen Trockenätzprozess umfasst, und der zweite Ätzprozess einen Nassätzprozess umfasst.procedure after claim 11 or 12 , wherein the first etching process is a dry etching process comprises, and the second etching process comprises a wet etching process. Verfahren nach einem der vorstehenden Ansprüche 11 bis 13, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses unter Verwenden von Kohlenmonoxid, Tetrafluormethan, Sauerstoff oder Ozon umfasst.A method according to any of the foregoing Claims 11 until 13 , wherein performing the first etch process comprises performing a plasma etch process using carbon monoxide, tetrafluoromethane, oxygen, or ozone. Verfahren nach einem der vorstehenden Ansprüche 11 bis 14, das weiter Folgendes umfasst: nach dem epitaktischen Aufwachsen des ersten Halbleitermaterials (80B) Entfernen der strukturierten Maskenschicht; Vertiefen einer oberen Oberfläche (64AU) der Finne (64) in dem NMOS-Bereich (200); und epitaktisches Aufwachsen eines zweiten Halbleitermaterials (80A) über der vertieften ersten Oberfläche (64AU) der Finne (64) in dem NMOS-Bereich (200).A method according to any of the foregoing Claims 11 until 14 , further comprising: after epitaxially growing the first semiconductor material (80B), removing the patterned mask layer; recessing an upper surface (64AU) of the fin (64) in the NMOS area (200); and epitaxially growing a second semiconductor material (80A) over the recessed first surface (64AU) of the fin (64) in the NMOS region (200). Halbleitervorrichtung (100), die Folgendes umfasst: eine Finne (64), die über einem Substrat (50) vorragt, wobei die Finne (64) einen ersten Abschnitt (64B) und einen zweiten Abschnitt (64A) hat, wobei der erste Abschnitt (64B) in einem PMOS-Bereich (300) liegt und der zweite Abschnitt (64A) in einem NMOS-Bereich (200) liegt; eine erste Gate-Struktur (75B) über dem ersten Abschnitt (64B) der Finne (64) in dem PMOS-Bereich (300); eine zweite Gate-Struktur (75A) über dem zweiten Abschnitt (64A) der Finne (64) in dem NMOS-Bereich (200); erste epitaktische Source-/Drain-Bereiche (80B) auf gegenüberliegenden Seiten der ersten Gate-Struktur (75B) und über dem ersten Abschnitt (64B) der Finne (64), wobei die ersten epitaktischen Source-/Drain-Bereiche (80B) in dem PMOS-Bereich (300) liegen und sich entlang einer ersten oberen Oberfläche (64BU) und erster Seitenwände des ersten Abschnitts (64B) der Finne (64) erstrecken; und zweite epitaktische Source-/Drain-Bereiche (80A) auf gegenüberliegenden Seiten der zweiten Gate-Struktur (75A) und über dem zweiten Abschnitt (64A) der Finne (64), wobei die zweiten epitaktischen Source-/Drain-Bereiche (80A) in dem NMOS-Bereich (200) und über einer zweiten oberen Oberfläche (64AU) des zweiten Abschnitts (64A) der Finne (64) in dem NMOS-Bereich (200) liegen, und erste Abstandshalter auf gegenüberliegenden Seitenwänden des zweiten Abschnitts (64A) der Finne (64) in dem NMOS-Bereich (200), wobei gegenüberliegende Seitenwände des ersten Abschnitts (64B) der Finne (64) in dem PMOS-Bereich (300) frei von ersten Abstandhaltern sind.A semiconductor device (100) comprising: a fin (64) protruding above a substrate (50), the fin (64) having a first portion (64B) and a second portion (64A), the first portion (64B) being in a PMOS region (300 ) and the second portion (64A) is in an NMOS region (200); a first gate structure (75B) over the first portion (64B) of the fin (64) in the PMOS region (300); a second gate structure (75A) over the second portion (64A) of the fin (64) in the NMOS region (200); first source/drain epitaxial regions (80B) on opposite sides of the first gate structure (75B) and over the first portion (64B) of the fin (64), the first source/drain epitaxial regions (80B) in the PMOS region (300) and extending along a first top surface (64BU) and first sidewalls of the first portion (64B) of the fin (64); and second source/drain epitaxial regions (80A) on opposite sides of the second gate structure (75A) and over the second portion (64A) of the fin (64), the second source/drain epitaxial regions (80A) in the NMOS region (200) and overlying a second top surface (64AU) of the second portion (64A) of the fin (64) in the NMOS region (200), and first spacers on opposite sidewalls of the second portion (64A) of the fin (64) in the NMOS region (200), opposite sidewalls of the first portion (64B) of the fin (64) in the PMOS region (300) being free of first are spacers. Halbleitervorrichtung (100) nach Anspruch 16, wobei eine unterste Oberfläche (80AL) der zweiten epitaktischen Source-/Drain-Bereiche (80A) die zweite obere Oberfläche (64AU) des zweiten Abschnitts (64A) der Finne (64) in dem NMOS-Bereich (200) kontaktiert.Semiconductor device (100) according to Claim 16 wherein a bottom surface (80AL) of the second epitaxial source/drain regions (80A) contacts the second top surface (64AU) of the second portion (64A) of the fin (64) in the NMOS region (200). Halbleitervorrichtung (100) nach einem der vorstehenden Ansprüche 16 bis 17, wobei sich die erste obere Oberfläche (64BU) des ersten Abschnitts (64B) der Finne (64) weiter von dem Substrat (50) erstreckt als die zweite obere Oberfläche (64AU) des zweiten Abschnitts (64A) der Finne (64).A semiconductor device (100) according to any one of the preceding Claims 16 until 17 wherein the first top surface (64BU) of the first portion (64B) of the fin (64) extends farther from the substrate (50) than the second top surface (64AU) of the second portion (64A) of the fin (64).
DE102018124815.6A 2017-11-30 2018-10-09 FIN field effect transistor device and method Active DE102018124815B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592871P 2017-11-30 2017-11-30
US62/592,871 2017-11-30
US15/967,295 US10340384B2 (en) 2017-11-30 2018-04-30 Method of manufacturing fin field-effect transistor device
US15/967,295 2018-04-30

Publications (2)

Publication Number Publication Date
DE102018124815A1 DE102018124815A1 (en) 2019-06-06
DE102018124815B4 true DE102018124815B4 (en) 2023-06-22

Family

ID=66548326

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018124815.6A Active DE102018124815B4 (en) 2017-11-30 2018-10-09 FIN field effect transistor device and method

Country Status (1)

Country Link
DE (1) DE102018124815B4 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11348840B2 (en) * 2019-10-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113764347B (en) * 2021-09-07 2023-06-16 上海集成电路装备材料产业创新中心有限公司 Method for manufacturing fin type semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130122676A1 (en) 2011-11-10 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Source/drain doping method in 3d devices
US20170338341A1 (en) 2016-05-17 2017-11-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130122676A1 (en) 2011-11-10 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Source/drain doping method in 3d devices
US20170338341A1 (en) 2016-05-17 2017-11-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof

Also Published As

Publication number Publication date
DE102018124815A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
DE102019116328B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019109857B4 (en) MANUFACTURING METHOD FOR A SEMICONDUCTOR COMPONENT
DE102020114875B4 (en) FINFET APPARATUS AND METHOD
DE102019102135A1 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102020108047B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD OF FORMING SAME
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102023105387A1 (en) UNDER EPITAXY ISOLATION STRUCTURE
DE102017126881A1 (en) FinFET structures and methods for their formation
DE102021113657B4 (en) Method of forming a semiconductor device
DE102021113053B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102022107309A1 (en) METAL GATE FIN ELECTRODE STRUCTURE AND PROCESS
DE102021113549B3 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021109770B4 (en) HYBRID SEMICONDUCTOR DEVICE
DE102018106191B4 (en) METHOD OF SELECTIVE FORMATION OF GATE SPACERS OF A FINFET USING A FLUORINATION PROCESS
DE102019121282A1 (en) Fin field effect transistor device and method of forming the same
DE102018124815B4 (en) FIN field effect transistor device and method
DE102020114655B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE WITH RE-RETURNING PROFILE CONTACT PLUG AND METHOD OF MANUFACTURE THEREOF
DE102021112360A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020101405B4 (en) METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
DE102017126435B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102023101602A1 (en) METAL GATE STRUCTURE AND METHOD OF PRODUCTION THEREOF
DE102020124631B4 (en) MULTILAYER INSULATING FILM STACK AND METHOD OF PRODUCTION
DE102019128469B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD FOR MANUFACTURING IT
DE102017127658A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102022100025A1 (en) CONDUCTIVE STRUCTURAL ELEMENT OF A SEMICONDUCTOR DEVICE AND METHOD FOR ITS FORMATION

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021823800

R018 Grant decision by examination section/examining division
R020 Patent grant now final