Nothing Special   »   [go: up one dir, main page]

Sistemas Digitales

Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 79

SISTEMAS DIGITALES

Ing. Aldrin Flores

Año, 2006
índice general

1. Sistemas digitales 1
Ll. Introducción 1
1.1.1. Sistemas analógicos y sistemas digitales 1
1.1.2. Transformación de analógica a digital: 2
1.1.3. Ventajas y desventajas de los sistemas digitales 2
1.1.4. Aplicaciones sistemas digitales 2
1.1.5. Proceso de Diseños de Sistemas Digitales 2

2. Álgebra de B o d e 3
2.1. Teorema del Álgebra de Boole 4

3. C o m p u e r t a s lógicas 7
3.1. Lógica de conmutadores 7
3.2. Compuertas básicas 7
AND 7
OR "-f" 8
NOT INVERSOR 8
3.3. Otras compuertas: 8
NAND 8
ÑOR 8
XOR 8
NXOR ; 8
3.4. Relación de inversión 9
3.5. Expresión Boleana 9

4. Formas de expresar u n a función Booleana 11


4.1. Tablas de verdad 11
4.2. Suma de productos 12
4.3. Producto de sumas 13

5. Diseño de c i r c u i t o s combinacionales usando tablas de verdad 15


5.1. Descripción verbal dol comportamiento de redes 15
5.2. Sistema binario 16

Til
IV Ing. Aldrin Florea

5.3- Expansión de una función boolenana en sus formas canónicas 18


5.4. Funciones especiñcadas de manera incompleta 21
5.5. Métodos para simplificar 24
5.5.1. Mapas k de 2 variables 24
5.5.2. Mapas k de 3 variables 24
5.5.3. Mapas k de 4 variables 26
5.6. Simplificación de funciones con términos No importa mediante mapas K 27
5.6.1. Números Decimales Codificados en Binarios (BCD) 27
5.6.2. Simplificación de funciones incompletamente especificadas 28
5.6.3. Mapas K de 5 Variables 31

6. S u m a y resta de binarios 33
6-1. Resta binaria 35
6.2. Comparadores de magnitud 37
6.3. Display de 7 segmentos 38

7. Método de Quine McCluiskey 41


7.1. Simplificación de funciones incompletamente especificada usando el método de Quine Me
Cluiskey 43

8. Formatos para representación de datos 45


8.1. Tipos de códigos 45
8.1.1. Códigos nimiéricos: 45
I . Código BCD: 45
I I . Código de exceso a tres: 46
I I L Código C R A Y : 46
Ponderaciones • 46
8.1.2. Códigos alfanuméricos: 47
I . Código EBCDIC: 47
I I . Código ASCII: 47
8.1.3. Códigos de detección de errores: 48
Bit de paridad 48

9. Componentes digitales 49
9.0.4. Escalas de Integración 49
9.1. Multiplexores 49
9.1.1. MUX4al 50
9.2. Buffers 51
9.2.1. Buffer no inversor de 4 entradas 51
9.2.2. Buffer inversor de 4 entradas 52
9.3. Diseño combinacional con multiplexores 53
9.4. Decodificadores de minterminos (maxterminos)
Sistemas DigítaJes V

10. E j e r c i c i o s r e s u e l t o s 57

11. E j e r c i c i o s p r o p u e s t o s 65

12. P r á c t i c a s 75

12.1. Práctica # 1 77
12.1.1. Nombre de la práctica: Familiarización con el equipo de laboratorio 77
12.2. Práctica # 2 79
12.2.1. Nombre de la práctica: Diseño de una compuerta XOR utilizando corapuesrtas básicas 79
12.3. Práctica # 3 81
12.3.1. Nombre de la práctica: Aplicaciones de los circuitos combinacionales 81
12.4. Práctica # 4 83
12.4.1. Nombre de la práctica: Displays 83
12.5. Práctica # 5 85
12.5.1. Nombre de la práctica; Circuito sumador 85
1

Sistemas digitales

1.1. Introducción

1.1.1. S i s t e m a s analógicos y sistemas digitedes

F(t)

f.

-•t

Señal Analógica Señal Digital

Función continua en el tiem- Fvmción discreta en el tiempo,


po, infinito número de valores infinito número de valores de
de / ( í ) m
Sistemas digitales.- Es un sistema en el cual las cantidades físicas asumen únicamente valores
discretos.
Magnitud Digital ^ Operación Digital ^
Sistema Digital
Información

Sistemas analógicos.- Sistema aquel en el cual las señales o cantidades físicas pueden asumir cualquier
valor en un rsuigo especificado.

Magnitud Analógica Operación Analógica


Sistema Analógico
Información

1
lag. Alaria Flores

1.1.2. Transformación de analógica a digital: •

Salida
^ Sistema Igital
A Analógica

1.1.3. V e n t a j a s y desventajas de los sistemas digitales

Ventajas:

- Mayor precisión

- Mayor confiabUidad

- Menos sensibles al ruido

- Diversos niveles de complejidad de los circuitos

- Mayor posibilidad de procesamiento

Desventajas:

- La naturaleza analógica

1.1.4. A p l i c a c i o n e s sistemsis digitales

Computación, procesamiento de datos, sistemas de control, comunicaciones e instrumentación.

1.1.5. P r o c e s o de Diseños de S i s t e m a s D i g i t a l e s

1. Especificación.- Se definen las características del sistema en función de su aplicación.

2. Diseño Funcional.- División del sistema completo en submódulos y especificación de las


características de cada submódulo.

3. Diseño Lógico.- Intercomunicax;ión de bloques lógicos básicos tales como resistencias transistores,
compuertas, etc.

4. Diseño Circuital (Eléctrico).- Interconexión de componentes básicos tales como resistencias,


, transistores, compuertas.

5. Diseño Físico.- Definición de los patrones geométricos que conforman los componentes básicos.
2

A l g e b r a de Boole

Axiomzis 1

1. Se dispone de un conjunto tal de elementos k = {0,1}

2. Una relación de equivalencia válida para los elementos de K

3. Se define la operación binaria suma "+ "

+ 0 1
0 0 1
1 1 1

4- Se define la operación binaria producto "•".•

• 0 1
0 0 1
1 1 1

5. Se define la negación 1' = O j/ O' = 1

Postulados 2

/. k es cerrado con respecto a la suma y producto.

II. Existencia de "1" y del "O"

a) X -hO = X

h) x-l = x

III. Ley Conmutativa

a)x +y =y+ x
h) xy = yx

IV. Ley Asociativa

a.) X + {y + z) ^ {x+ y) + z

3
4 Ing. Aldrin Flores

h) x{y •z) = {x- y)z

V. Ley Distributiva

a.) x + {y.z) = {x-\-y){x + z)


h) x{y + z) = {x.y) + (x.z)

VI. Complemento

a.) X + x' = 1
b ; x.x' --- O

Definiciones 3

1. Dos expresiones son equivalentes si la una puede reemplazarse con la otra.

2. Expresión Dual

[/(xi,X2,X3, ,x„,0,l,2, )]-° = / ( x i , X 2 , X 3 , ,x„)

Una expresión es válida si la expresión dual es valida.

2.1. Teorema del Álgebra de Boole

Nos sirven para convertir funciones booleanas de un formato a otro y para minimizarlas; con la ayuda
de los postulados: conmutativo, identidad, distributivo, inverso, se deducen los siguientes teoremas:

1. Idempotencia

a) X + X = X

b) X • X = X

2. Propiedades del cero y del uno

a) X + 1= 1 ^
6) X + O= X

c) x - l = K

d) X •O = O ^

3. Absorción 1

a) x + xy = X

b) x{x + y) = X

4. Absorción 2

a) x + x't/ = x + y

b) x(x' + y) = x.y
Sistemaa Digitales 5

5. Involución

a) (z')' = x

6. Leyes de Morgan

a) {x + y)'.= x - y
b) (x • y)' =x + y'

/'(x,y,l,0,-,+) = /(x',y',0,l,+,-)

7. Teorema del Consenso

a) xy + x'z + yz = xy + x'z
b) (x + y){x + z){y + z) = {x + y)(x' + z)

Ejercicios 4 Simplificar:

1. ABC ^ AB'C + A'


ABC + AB'C + A' = AC{B + B') + A' Distributiva.
^AC + A! Complemento .
= AV C Absorción 2 A

2. (RST)' + {R + S + Ty = Bf + S' + T' + R'S'T'


{RST)' + {R + S + Ty =R' + S' + r + R!S'r Leyes Z3e Morgan
= R! + S' + T'{1 + R!S') Distributiva.
= R' + S' + r Propiedad del 1
= {Ef + S' + T')" Involución
= (RST)' Leyes de Morgan

3. ( C + D)' + A'CD' + AB'C + A'B'CD + ACD'


= CD' + CD'{A' + A) + AB'C + A'B'CD Leyes de Morgan, Distributiva
= CD' + CD' + B'{AC' + A'CD) Complemento
= D'iC + C ) + B'iAC + A'CD) Distributiva
= D' + B'iAC + A'CD) Complemento

4- Demostrar: xy + x'z + yz = xy + x'z


xy + a^z + yzil) = xy + x'z + T/2(X + x') Por definición de Complemento
= xy + x'z + yzx + yzx' Propiedad Distributiva
xy(l + z) + x'z(l + y) Recolectiva
= xy(l) + x'z(l) Propiedad del "!"(+)
= xy + x'z Propiedad del "1" (•)

5. wx + xy + a/z' + wy'z' + wz'


v¡x + xy + x'z' + wy'z' + wz' = lüx + xy + x'z' + wz' (y' + 1) Recolectiva
= wx + xy -f x'z' 4- wz' Propiedad del "1"
- xw + wz' + xfzf + xy Conmutativa
-xíü + x'z' + xy T. Consenso
Ing. Aldrin Flores

6. Demostrar : x + x'y — x + y

^(1) +3^2/ = x(l + y) + x'y Propiedad del '1"(+)


~x + xy x^y Distributiva
= X + y[x + x') Recolectiva
= X + y{l) Complemento
= I + y Propiedad del '1" (.)
Compuertas lógicas

3il. Lógica de conmutadores

abierto O circuito paralelo


cerrado 1 "-" circuito serie

Sea.F = A-\-BC + D

Circuitos Lógicos.- Es la realización física de una fxmción booleana y son dispositivos que nos permiten
plasmar una especificación lógica.

3.2. Compuertas básicas

AND

X y x-y
0 0 0
X. y
0 1 0
J 1 0 0
1 1 1
Ing. Aldrin Flores

OR

X y
0 0 0
x+y
0 1 1
1 0 1
1 1 1

NOT INVERSOR
/
X X
0 1
1 0

3.3. Otras compuertas;

NAND

X y x-y
0 0 1
0 1 1
1 0 1
1 1 0

ÑOR

X y x + y
0 0 1
x+y
0 1 0
1 0 0
1 1 0

XOR

X y x®y
0 0 1
x©y
0 1 0
1 0 0
1 1 1

NXOR

X
y {xeyy
0 0 0
X x©y
0 1 1
y
1 0 1
1 1 0
Subeaam Oigitales

3.4- Relación de inversión

Inverso con compuertas N A N D

Inverso con compuertas Ñ O R

3.5. Expresión Boleana

Se forma por la aplicación de las operaciones lógicas (básicas) a una o mas variables o constantes.

a) f(a,b,c) = aí/^(/

b ) g = [x{y + zy]'+ xw^O

Representación:

F(a,b,c)

Hallar el valor de g para x = y ~ 1, w — O, z = 1


g = [x{y + zYY -hxw + O
= [ 1 ( 1 + 1)7 + ( 1 , 0 ) + O
= [01'+0 + 0
= 1
4

Formas de expresar u n a función


Booleana

4.1. Tkblas de verdad

Especifica los valores de una expresión boleana para todas las posibles combinaciones de valores de
las variables de la expresión.

Ejemplos 5

1. F = A'-\-B

A' B F
0 0 1
0 1 1
1 0 0
1 1 1

2. F = A B ' + C

A B
c AB'
a F
0 0 0 0 1 1
0 0 1 0 0 0
0 1 0 0 1 1
0 1 1 0 0 0
1 0 0 1 1 1
1 0 1 1 0 1
1 1 0 0 1 1
1 1 1 0 0 0

E j e r c i c i o 6 Demostrar la ley asociativa utilizando tablas

a) X + (y + z) = (x + y) + 2

11
12 Ing. Aldria Flores

X y z y-hz x+y x-h(y^z) (a: + 1/) + 2

0 0 0 0 0 0 0
0 0 1 1 0 1 I
0 1 0 1 1 1 1
0 1 1 1 1 1 1
1 0 0 0 1 1 1
1 0 1 1 1 1 1
1 1 0 1 1 1 1
1 1 1 1 1 1 1

b) x[y.z) = (x.y)z
X Y z x-y (x • y)z {x • y)z
0 0 0 0 0 0 0
0 0 1 0 0 0 0
0 1 0 0 0 0 0
0 1 1 1 0 0 0
1 0 0 0 0 0 0
1 0 1 0 0 0 0
1 1 0 0 1 0 0
1 1 1 1 1 1 1

Demostración. Ley asociativa


x-^{yz)^{x + y){x + z)
( x -^y){x z) = ( x 4- y)x 4- ( x + y)z Por propiedad distributiva
= x yz + xz + yz Idempotencia
= X+ xz + Absorción
= X + (yz) Absorción

Demostración. Teorema del consenso
xy + x ' z + yz = xy-\- x'z
xy-\-j^z + yz = x y + x ' z - f 2/2(1) Propiedad 1
= x y + x'z -f- yz{x 4- x ' ) Complemento
= x y + x ' z -h xyz -h x'yz Distributiva
= x y H- x'z Absorción

4-2, Suma de productos


Ejemplo 7
AB' + CD'E + ACE'
ABC + DEFGH +H
A 4- + C + D'E
No es suma de productos
AB' + CD'{E + A) + ACE
4.3. P r o d u c t o de s u m a s

Ejemplo 8
{A-^B')(C + D'^E){A + a + E)
A{C^D' -\-E){A + C')
No es producto de sumas
A{A + a){BE)
ABC'iD + E)
5

Diseño de circuitos combinacionales


usando tablas de verdad

5.1. Descripción verbal del comportamiento de redes

Traducir una descripción verbal a expresión booleana expresión verdadera V o falsa F

E j e r c i c i o 9 Diseñar una alarma que opere de la siguiente forma la alarma sonará si el switch de activado
esta encendido y la puerta no esta cerrada o si es pasadas las 18:Q0k y la ventana no esta cerrada
(expresión verbal).

Procedimiento

1. Dividir la descripción verbal en frases.

2. Dar una variable booleana a cada una de las frases.

- La alarma suena F.
- E l switch activado x
- La puerta no esta cerrada y'
- Son pasadas las 18 : OOii z
- La ventana no esta Cerrada

X : switch activado
Y : Puerta cerrada
W : Ventana cerrada
Z : Pasada las 18 : OOh
F : Alarma activada, F — xy' + zy^

15
16 Ing. Aldria Flores

5.2. Siste

27 (decimal) — ^ 11011 (binario) = 1 6 + 8 + 0 + 2 + 1 = 27


10 (decimal) — y 1010 (binario) = 8 + 0 + 2 + 1 = 10

64 32 16 8 4 2 1
[I] O 1 1 O O CD
i l
digito más significativo digito menos significativo

1. En un sistema de alarmas se tiene tres censores. Diseñar un circuito lógico que permita saber cuando
sólo imo de los censores se active.

A B c F
0 0 0 0
0 0 1 1
F = A'B'C + A'BC + AB'C
0 1 0 1
F = A'B'C-\-C{A'B-\-AB')
0 1 1 0
F = A'B'C + C'(A®B)
1 0 0 1
F = ( A + 5 ) ' C + C'(Ae5)
1 0 1 0
1 1 0 0
1 1 1 0

2. Para el siguiente circuito lógico dado en la figura, implementar su equivalente utilizando compuertas

F = A + (^ + C ) C
F = vi + + ce
F = {A + AC) + C

F = A + C

3. Se tiene un número binario de tres dígitos N = 626160- Diseñar un circuito tal que la salida F = 1
si TV > 011 (3 decimal) caso contrario F = O si < 011

N F
Circuito / Red lógica (Comparador)
SisUanas Diff tales 17

h h bo F
0 0 0 0
0 0 1 0
0 1 0 0*
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1

Traducir la expresión anterior a expresión boleana

Podemos t o m a r los 1
F = b'^bibo + 6261 + b2biyQ + 626160 Por x y ' + x y = x ( t / 4- y ) = = x
F = 626160 + 6261 + 6261 F = 6160 + 62 Absorción 2

Gráfico con compuertas o diseño lógico:

F=b2+bob,

Podemos t o m a r los ceros


F = (62 + 6 i -h 6o)(62 + 61 -f 6'o)(62 + 6^ + 60)
iP = (62 -f- 6i)(62 H- 6'i H- 60) Por ix-\-y)ix + y ' ) , con x = 62-1-61, y = 60, 2/= 6^
F = 62 -h 61 (6i -h 60) Distributiva
F = 62 + 6160 Distributiva

Expresión booleana.- Se forma por la aplicación de las operaciones lógicas básicas a i m a o más
variables o constantes. E j : F ( a , 6, c) = a'6 + ac.
Para l a evaluación de expresiones booleanas seguimos el siguiente proceso.

Ejemplo 10 Hallar el valor de g para x ~ y = 1, UÍ = O, z ^ 1, si g = [x [y + z)']' + xw/ + O


Evaluamos: g = [1 + (1 + 1)']' + (1)(0) + O = [1(1)']' -h O - [1 + Oj' 4- O = (1)' + 0 = 0 + 0 = 0

Ejercicios 11
/ C = {l,0}+,-,Tio¿

+ ^ V - . — ^ A O ' = l l ' - 0
Reducir la siguiente expresión booleana.

l. ABC + A'B'C + ABC + A'B'C


AB(C + C ) + A ' B ' ( C + C) + A'BC Ley distributiva y Asociativa
. AB + A'B* -h A'BC Propiedad complementaria y Prop, '1"
A'B' -\-B{A + A'C) Distributiva
A*& + B{A + C) Absorción I I
18 liig. Alaria Flores

Circuito Lógico

A
B
EvaluarA = lB = lC = 0
+ 1 ( 1 + 0)
00 + 1(1) = 1

2. Z = A'C{A'BDY + A'BCD' + AB'C

= A'C{A' + 5 ' + D'Y + A ' B C ' D ' + >IS'C Leyes De Morgan


= A'C{A + B ' +1?') + ^'BC'Z?' + AB'C Involución y complemento
= A'CA + A ' B ' C + A'BC'D' + A ' B C ' D ' + AB'C Distributiva
= B C ( A + A) + A'Í?'(C + BC) Distributiva
= B ' C + ^'I?'(C + S) V Absorción TI

Circuito Lógico:

A.
D

B
C

'5.

= (A' + B ' ) ' B C


= (>1"B")BC
= {AB)BC
==ABC

5.3. Expansión de una función boolenana en sus formas canónicas

M i n t é r m í n o . - Un mintérmino de n variables es un producto de n-literales en el cual cada variable


aparece una sola vez, complementado o no. Se obtiene directamente de la tabla de verdad. (Suma
de productos, que producen " 1 " en la función).Producto Estándar , mo número decimal del binario
correspondiente. Veamos la definición con un ejemplo;
Sistanaa Digitales 19

a b c F
Afo mo 0 0 0 0
Mi mi 0 0 1 0 / ( a , b, c) = Q!dd + aUd + ab^c+| abe •mintérmino
M2 0 1 0 1
Mz 0 1 1 0 f{a, b, c) = m2 + m 4 + m 5 H- m 7
m4 1 0 0 1
M5 7715 1 0 1 1 /K6,c)-S77i(2,4,5,7)
Me TTlg 1 1 0 0
Mj mj 1 1 1 1

Maxtérmino.- U n maxtérmino de * n ' variables es l a simaa * n ' literales. E n l a s que todas l a s variables
aparece u n a s o l a v e z . S e b a s a n e n e l principio de d u a l i d a d . C o n s i s t e n e n las s u m a s que p r o d u c e n " O "
e n l a función, es decir t o m a los ceros e n l a t a b l a y t o m a e n c u a n t a que cero es u n a variable no p r i m a y
p r i m a si es 1. S u m a Estándar M o -

A p l i c a n d o l a definición e n e l anterior ejemplo tenemos:

/ ( a , b,c) = ( a + b H- c ) ( a + 6 + c ' ) ( a + + cf^ ja' 4~ c) —^maxtérmino

, / ( a , 6 , c ) = Mo-Aíi.M3.Af6

7(a,&,c) = n M ( 0 , l , 3 , 6 )
L a expresión de mintérminos d a u n c i r c u i t o de 2 niveles: A N D ' - O R , y l a expresión de maxtérminos

u n c i r c u i t o de 2 niveles: O R - A N D .

o o
MINTERMINOS NLAXTERMINOS

> o >
o o
o o
OR AND

AND OR

E n forma general:
7
F ( a , b,c) = aiiui Mintérminos
t=0

s i : ai~ 1 TTii está presente e n l a función

a i ==0 TTii no está presente e n l a función

/ ( a ,fe,c) = (ao + M o ) ( a i 4- M i ) . . . (07 + M 7 ) = ¡Jai-{-Mi Maxtérminos

s i : a i = 1 — y Mi está ausente e n l a función

ai = O — ^ Mí está presente e n l a función

Así: F*{a.b,c) = (Hai-hMi) = (O-'Í^'Í] = J2 ^'i^i ^' se e n c u e n t r a n los mintérminos


\i=Q J \i=0 / i=0
ausentes e n F.
20 Ing. Aldrin Flores

Para expresar una función en compuertas ÑOR niego dos veces el II de maxtérminos y para expresarlos
en términos de compuertas NAND niego dos veces la S de mintérminos.

E j e m p l o s 12

1. Sea f una función boleana de tres variables hallar el circuito lógico para f, si f — 1 cuando la
entrada de sus variables equivale a un número binario impar.

Circuito / Red lógica (Comparador)


b2bibQ l —N (impar)

h2 bi 60 F
Mo 0 0 0 0 Si tomamos los unos de la tabla para encontrar la función tenemos:
Mi Mi 0 0 1 1 F = 62'61'60 + 62'6160 + 6261'60 -h 626160
M2 M2 0 1 0 0 F = 62'60(61' 4- 61) + 6260(61' + 61)
Ma Ms 0 1 1 1 F = 62'60 + 6260
M4 AÍ4 1 Q 0 0 F = 60(62' + 62)
M5 AÍ5 1 0 1 1 F = 60
Me Me 1 1 0 0
Mj M7 1 1 1 1
Este resultado también lo podemos obtener comparando las columnas 60 y F.

2. Sea / ( a , 6 , c , d ) =£m(0,2,3,5,9,ll)

aj Hallar y simplificar si e posible.

h) Hallar /, como una expansión de maxtérminos (Expresión Booleana)

F ( a , 6, c, d) = S(0,2,3,5,9,11) = mo -h mg + -f + r/ig + m u

63 62 61 60 F
mQ 0 0 0 0 1
mi 0 0 0 1 0
a; = S m ( l , 4,6, 7,8,10,12,13,14,15)
m2 0 0 1 0 1
F' = 6^6'26i6o + 6'3626;6ÍJ + 6^63616^ + 6^636160 + 636^6'i6'o + 636'26i6;,
m3 0 0 I 1 1
-í-63626'i6'o + 63626'I6Q + b^b2bib'Q + 63626160
7714 0 1 0 0 0
ms 0 1 0 1 1
me 0 1 1 0 0
b) F = ^3^2^1^0 "í" 63626163 -f 63626160 -f 63626260 + 636261^60 + 63626160
mj 0 1 1 1 0
b'sb'Mb'i + bi) + 6'2(6'36i6o + hb'^b'o) + bo(b'362Í4 + bibf^bl)
ms 1 0 0 0 0.
6362^0 + 6 2 + 6 0
mg 1 0 0 I 1
mío 1 0 1 0 0
6o +fe^(fc'3+ l )
mu
mi2
1
1
0
1
1
0
1
0
1
0
bo + m
60 + 62
mi3 1 1 0 1 0.
mi4 1 1 1 0 0
7^15 1 1 1 1 0

3. Expresar esta función en un circuito sólo con compuertas NAND, F = a'bd + ab' + ac
Sistemas Díctales 21

F = a'bd 4- aU + ac
F = F" =^ [a'bdab'+ acf.
F=({a'bdy{a}/y{acy)'

a —
c —I

l Dada F(a, 6, c, d) = S ( l , 3 , 4 , 5 , 1 0 , 1 2 , 1 3 ) .

a ) Expresar F como un producto de sumas,

h) Hallar F',

c) Simplificar F usando álgebra de Boole a partir de los mintérminos.

a 6 c d F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0 a ; . F = (a + 6 + c + d){a + 6 +.c' 4- d ) ( a + 6' + Í/ + d ) ( a + 6' + + d')
0 0 1 1 1 (a' + 6 + c + d)(a' + 6 + -h d')(a' + b' + cf + d){a' + 6' + 4- d')
0 1 0 0 1
0 1 0 1 1
0 1 1 0 0 h). F' = i : m ( 0 , 2 , 6,7, 8,9,11,14,15)
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0 c).F a ' 6 V d + a'Ucd + a'b(^d' + a'b<^d + aUcd 4- abdd' 4- abdd
1 0 1 0 1 F = a'h'd{d + c) 4- a'hd{d' + d) + atfcd' + abd{d' + d)
1 0 1 1 0 F = a'b'd + a'bd + a6'c(/ + abd
1 1 0 0 1 F = bd ^ a'Ud + ab'cd'
1 1 0 1 1
1 1 1 0 0
1 1 1 1 0

5.4- Funciones especificadas de manera incompleta

Términos N o I m p o r t a . - Se llaman así a los términos que no se va a dar una asignación de valor fija
sino que se toma 1 o O de t a l manera que nos ayude a la simplificación de la función.
A continuación tenemos el ejemplo del funcionamiento de u n Semáforo:
22 Ing. Aldrin Flores

V A R F
0 0 0 V = verde
0 0 1 A = anaranjado
0 1 0 R = rojo
0 1 1
1 0 1 X Termino no i m p o r t a
1 1 0
1 1 1 X Termino no i m p o r t a

E j e m p l o s 13
A partir de la siguiente tabla hallar los valores de los términos no importa tal que la función tenga
su mas mínima expresión.
A B c F
F = SiW(0,3,7)-hi:X(l,6)
0 0 0 0 1
1 0 0 1 X
Se pueden dar diferentes casos según el valor que tomen los términos no importa:
2 0 1 0 0
casos Xi Xs
3 0 1 1 1
1) 0 0
4 1 0 0 0
2) 0 1
5 1 0 1 0
3) 1 0
6 1 1 0 X
4) 1 1
7 1 1 1 1

F = I:M(O,3,7)

= a W 4-a'&c + a6c

= a ' 6 V + be

2. F = S M ( 0 , 3 , 6 , 7 )

= a ' 6 V + a'bc + ab(/ + abe

= a'h'd + a'bc + ab

= a'6V + fe(a'c-ha)

= a^bcf + &c + a6

3. F = S M ( 0 , 1 , 3 , 7 )

= a ' b V + a'b'c 4- a'bc + abe

= a'U + be

l F = S M (0,1.3,6,7)

= a'b^cf -h a'í/c 4- a'bc 4- abcf + abe

= a'b' + bc+ abcf

= a'b' + b{c 4- ai/)

— a'b' -\-bc + ab

E j e r c i c i o s 14
S w t f T D^grtafes 23

1. L n tren eléctrico subterráneo dispone de control automático y manual, en control automático puede
partir de la estación si todas las puertas están cerradas y la pista esta libre. En control manual
puede partir con las puertas abiertas o cerradas, pero la pista debe estar libre. Presentar el circuito
solo con compuertas NAND que indique con 1 en la salida que el tren partió.

A = Control Automático ~ 0 A ' = Control Manual = 1


B — Puertas Cerradas —0 B^ = Puertas Abiertas = 1
C = Pista Libre = 1 C = Pista Ocupada = 0

A B
c F
0 0 0 0

>
F^A'B'C-\-AB'C^ABC
0 0 1 1
F = B'C{A' + A) + ABC
0 1 0 0
F = B'C'hABC
0 1 1 0
F = C{B' + AB)
1 0 0 0
F = C{B' + A)
1 0 1 1
F = B'C-\- AC
1 1 0 0
1 1 1 1

Con compuertas NAND: F = F" = (B'C + AC)" = ((B'C)'(AC)')'

Utilizando las compuertas que requiera diseñar el circuito que cumpla con las condiciones
establecidas en la siguiente tabla.

X Y F
0 0 0
0 1 A
1 0 B
1 1 1

F = X'Y + XY' + XY pero tomo en cuenta A y B por que pueden valer O y 1.

F = X'Y A + XY'B + XY

F = X'YA^-X{Y'B + Y)

F ^X'YA-^X{Y + B)

F = X'Y A + + X5

F = Y{X'A ^X)^XB

F = Y {A + X)^XB

F = AY + XY XB
B

Y
A
24 Ing. Aldrin Flores

5,5. Métodos p a r a simplificar

M a p a s de K a r n a u g h (mapas k ) . - Los mapas K son la representación gráfica de una tabla de verdad.

5.5.1. MapEis k de 2 v a r i a b l e s

Regla de Simplificación.- Mintérminos adyacentes del mapa pueden combinarse con cualesquiera de
ellos (o ambos), puesto que difieren en una sola variable. Solo k puede agrupar mintérminos (1 uno) o
maxtérminos (O ceros) entre si.
A B F
0 0 1 1
1 O Cada casillero representa
0 1 0 0
1 una combinación
1 0 0 0 1
1 1 1
Cada " 1 " representa un mintérmino de la función

5.5.2. M a p a s k de 3 variables

Un mapa de 3 variables tiene 3 mintérminos adyacentes y puede combinarse con cualesquiera de ellos.
= 8 combinaciones.
A B c F
rriQ 0 0 0 0
mi 0 0 1 0
1712 0 1 0 1
F = A'BC + A'BC -h AB'C + ABC
VTI3 0 1 1 1
F = A'B-h AC
7714 1 0 0 1
ms 1 0 1 0
niQ 1 1 0 1
m-r 1 1 1 0

0 i
00
00 0 [1}
F^A'B + AC 01 Adyacentes
01 0 0
11
11 [11 0
10
10 li

El objetivo de un mapa k es tratar de hacer el grupo más grande de unos 1 posibles.

- No tiene que quedar ningún 1 suelto. ••

- No se debe agrupar más de una vez.


¡Xgitsdes

Observación 15 representamos I L y OL de la siguiente manera

\
0 1 BCN 0 1
00 1 1 00 0 0
OI 1 1 01 0 0
11 1 1 11 0 0
10 1 1 10 0 0

IL OL

E j e r c i c i o 16

1. Simplificar la siguiente función holeana : f{a, b, c) — abd -f- b'c + usando mapas K

\
B C \ 0 1 / ( a , 6, c) = abe' + 5'c + ^
00 ri]
Suma de
01 1 11
F = a' + b<^ + b'c
11 1
Mínima Suma de Productos
10 T 1)

2. Dada la siguiente función hallar el mapa para f y simplificar si es posible

/ = (a,6,c)-Sm(l,3,5)

\
0 1
f = b'c-\-a'c 00 0 0
/ ' = (6 + c ' ) ( a + c') 01 11 1)
/' expresado como producto de Sumas 11 ii) 0
10 0 0

\
B C \ 0 1
00 l l ll
/' - c' + a6
01 0 0
/' expresado como suma de productos (M.S.P)
11 0 T
10

3. Expresar f como M.P.S. a partir de mapa K.

a.) f como mínima suma de productos

h) Niegue f

Simplifique: f{a,b,c) =: S m ( 0 , 1 , 2, 5 , 6 , 7 )

\
B C \ 0 1 B C \ 0 1
00 m 00
01 m f = a'b' + ac + bcf - 01 íl 1) f = a'cf + b'c + ab

11 ii 11 m
10 [1 1] 10
26 Ing. Aldrin Flores

5.5.3. Mapas k de 4 variables

A B c D F \AB
fin ni 11 10
0 0 0 0 0 0
00 u 4
1 0 0 0 1 0
01 1 5 13 9

2 0 0 1 0 1 11 ?! 15

3 0 0 1 1 1 10. 2 6 14 10

4 0 1 0 0 0
5 0 1 0 1 1
6 0 1 1 0 0
Existe el cambio en el
7 0 1 1 1 1
numeración de los casi
8 1 0 0 0 1
se den los adyacentes
9 1 0 0 1 1
10 1 0 1 0 0 ^ AR
11 1 0 1 1 0 00 01 11 10
12 1 1 0 0 0 00 |T]
13 1 1 0 1 1 01 ai 11
11
14 1 1 1 0 0
10
m 1

15 l 1 1 1 0 lil
F = AB'C + BCfD -h AB'D + A'B'C

Ejercicio 17

L Simplificar / ( a , 6, c, d) = £m{l, 3,4,5,10,12,13)

C D \ 00 01 11 10
00 1 1
01 m 1 1 F = + A'B'D + AB'CD'
11 [h
10
UJ
2. Simplificar f{a, 6, c, d) ~ acá + a'h + d'

C D \ 00 01 11 10
00 :i :u r
01 ll / = íf + a'6 -h ac
11 !i 11 li
10 ;1 !1 11 111
3. Dado el siguiente mapa, hallar la función especifica.

V.AB
r > v 00 01 11 10
00 1 íili
/ - a V + f/á -h a'b + ab'(^
01 f r nj "1
11 ¡1 1
10
27

4. Simplificar f{a,b,c,d) = '£m{0,1,2,^J,S,n,lb), y hallar F'

\AB
C D \0 0 1 1 1 1 0
0 0 Ti Para obtener la función F' realizo el mismo
0 1 JJ 1 1 •procedimiento pero agrupo los ceros en lugar de los unos,
11 [1 f = bdf + ah'd^h'cd + acdf
1 0 ^^1 Suma de Productos

Ahora obtengamos f negando f :

0 0 0 1 11 1 0
00 1 [o 0 1
f = [bd + a'b'd + a'b'd' + h'd(íy
01 1 I 1 ÍOl
/ ' = (í/ -h d!){a + 6 H- c)(a + 6 + d){b + c - f d') Producto de sumas
11 0 1 1 1 ÍOT
10 1 0 (0 0)

Ahora hallemos f como producto de sumas


f ^ f ' ^ {bd -\- ab'd + Ucd+acdy = [b' 4- d)(íi' + 6 + d)(b + c/ + d')(a' -h c' + d)

5.6. S i m p l i f i c a c i ó n de funciones con t é r m i n o s N o i m p o r t a mediante


mapas K

Los términos no importa ( X ) pueden tomar el valor de "O" ó "1" según nos convenga, tratamos
como "1" cuando nos ayude a formar grupos de "1" y como "O" cuando no afecten a los grupos.

Ejemplo 18 Seaf{A, B, C) = i:m(0,4,5,7) 4- S z í S , 6)

\
0 1
0 0 II 1¡
01 0 1 / = B'a + A
11 X 1
10 1

5.6.1. Números D e c i m a l e s Codificados e n B i n a r i o s ( B C D )

Oll^/iVAfí/O — ^DECIMAL

^'¿DECIMAL = 1100 BINARIO

E l código B C D representa un dígito decimal en binario (O — 9)

1 2 DECIMAL
/ \
0001 0010 BCD # 1100 (BINARIO)
BCD ^ 10

Ejercicio 19 Sean ABCD los valores binarios para un digito BCD, Hacer la tabla para valores posibles
para ABCD, y hallar una función f que indique con \ cuando estamos c o n u n digito B C D
cuando es > a 9 seria OL
28 Ing. Aldrin Flores

A B c D F
0 0 0 0 0 1
1 0 0 0 1 1
\AB
2 0 0 1 0 1 D \ 0) a n D
3 0 0 1 1 1 ® 1| 1 |íi
4
5
0
0
1
1
0
0
0
1
1
1
a
11
1.! 1
1 1
M F = A' + CB'

6 0 1 1 0 1 D 1 I
7 0 1 1 1 1
8 1 0 0 0 1
9 1 0 0 1 1
10 1 0 1 0 0
11 1 0 1 1 0
Estas
12 1 1 0 0 0
' combina dones
13 1 1 0 1 0
no se de
14 1 1 1 0 0
15 1 1 1 1 0

Circuito Lógico:

A
n a

o B' I L '• Se prende cuando es I L

\
C

OL 0,8V
->3V 5V

- Si el circuito esta cerrado A = O V — > OL


- Si el circuito esta abierto A — 5Y —*• I L
- Resistencia a 3 3 0 0 limita la corriente

- Emite luz cuando VA > VK


- Esta apagado cuando VK > VA

5.6.2. Simplificación d e f u n c i o n e s i n c o m p l e t a m e n t e e s p e c i f i c a d a s

Tratamos a los X como 1 cuando nos ayude a formar grupos mas grandes de 1, y los tratamos como
O cuando no afectan a los grupos que formamos con 1
29

E j o o p l o 20

l. Sea F[A, B , C) = Em (0,4,5,7) + Ea: (3,6)

0 1
00 (1 :ii
01 1 F^A + B'C
11 X 11
10

2. Sea F{A,B, C, D) = Sm (1,3,5,7,9) + Sa; (6,12,13)

F^C'D^ A'D

C D \ 00 01 11 10
00 X
01 fíi: M X 11
11 1 1-
10 X

3. Un número 4 bits se representa como ^3^2-^1-^0 diseñar un circuito lógico que produzca una salida
alta siempre que el número binario mayor de 0010 y menor que 1000.

1000 > AZA2A1AQ > 0010


As A2 Al Ao
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 1
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 0
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0

1> 1
A i A > v 00 01 11 10 A2
00 rii
OI ii A,
11 AnU
—1
10
F = A'^A2 + A'^AiAo
Ing. Aldrin Flores

La figura muestra 4 interruptores que son circuitos de control, que son de una copiadora.

Los intervalos se encuentran.

• Alto cada vez que se cierra ¿os interruptores.

• Si y S4 nunca se cierran al mismo tiempo.

lkOhm/5V

3' -J-
lkOhm/5V
S2

lkOhm/5V CIRCUITO
S3 LOGICO

lkOhm/5V
+v
S4

54 Sz ^2 Si F
0 0 0 0 X
0 0 0 1 1
0 0 1 0 X
0 0 1 1 1
0 1 0 0 X 5V
0 1 0 1 1
330 Q
0 1 1 0 X A SW cermdo A = QV ^QL
0 1 1 1 0
^5W
1 0 0 0 1 SW abierto A = ^IL
1 0 0 1 1
1 0 1 0 1
1 0 1 1 0
1 1 0 0 1
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0

SS4S3

S 2 S > \0 01 11 10
00 Ixll 1 m
01 luíl 1) 0
li
Hi 0 0 0
10 X X 0
^1
F = S2S1 -h S^^Si + 'S'rj'S'g 4- S'^Si + S'^S^ + '^'453
SuC-X NIapas K de 5 Variables

Simplificar F{A,B, C, D,E) = i: (0,1,3,13,15,20,21,22,23,24,26,28,30,31)

F{A, B, C, D , E) = A'B'D' + ABC + A'BCE -f AB'C + ABCD


= A'B'D' + ABC + A'BCE + A B ' C + AC[B' + B D )
= A'B'D' + ABC + A ' B C £ 4- AB'C 4- A C ( B ' + D)
= A'B'D' + ASC" + A'BCE -h A B ' C + AB'C + ACI?
Nota: los mintérminos (2,3,31,30,22) forman un grupo único ACD.
6

Suma y resta de binarios

a) S u m a d e dos números biníirios d e I b i t c a d a u n o .

A B S carry
0 0 0 0
Ibit = OL = O V
0 1 1 0
= 1L = 5 V
1 0 1 0
1 1 0 1

A,-

B, lÜt

A B

S^Ai + Bi

b) R e s t a de dos números b i n a r i o s de I b i t c a d a u n o .

A B R borrow
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0

c) S u m a d e números b i n a r i o s d e n b i t s . N = 5

Realizar 6 + 3 = 9

33
Ing. Aldrin Flores

Ci Ai Bi Si Ci+1
Bi
0 0 0 0 0
0 0 1 1 0 0 0 1 1 0
0 0 0 1 1 0 1 0 1 0
0 1 0 0 1 0 1 1 0 1
I
HLL ADDER
i i 1 0 0 1 0
FA " NA 1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

\ C i \ c ,
Al B r \ 0 1 Al 0 1
00 0 1 00 0 0
01 1 0 01 0 1
11 0 1 11 1 1
10 1 0 10 0 1

Si = CiA[B[ + C{A[Bi + CiAiBi + C[AiB[

Si = C{{A[B[ + AiB[) + Ci{A\B[ + A^Bi)

Si = Ci®Ai® Bi

Ci+i = CiBi + CiAi + AiBi

Circuito Lógico

Diseñar un circuito que le permita diseñar la suma de dos números binarios de 4 bits cada una.
A = A3A2A1A0

B - B3B2B1BQ
¡Xgftales 35

A3 B3 A2 B2 A, Bi Ao Bo

I I I I
6ht
R

t 1' t
^
S3 S2 s, So

6.1. R e s t a binaria

O l i o
RESTA 6-3 = 3 - O O 1 1 n = 4bits
0 0 1 1
bits signo O = Signo positivo
1 = Signo negativo
Complemento a uno, representación n bits.
]V = (2" - 1) - N.

Hallar el complemento a uno de 6 (binario)


O l i o
Ar = 1 5 - 6 = 9
Ñ= 10 0 1

• Se puede ver que el complemento de uno de los números se obtiene cambiando los O por 1 y los 1
por 0.

La ventaja de trabajar con complementos es que el mismo circuito básico para la suma se lo puede
utilizar para la resta.
Realizar Utilizar complemento a uno.
33 00100001
- 21 11101010
12 yjOOOOlOll
n = 8 bits ^ 1
21 = 00010101 00001100
-21=11101010
Por el complemento a Dos es.
iV* = 2" - iV
N*=Ñ+1
Ñ 1111
1
N* yjoooo
i
No se toma en cuenta (representar 4 bits)
36 Ing. Aldrin Flores

33 0100001
-21 11101010
12 lononioii

21 00010101
-33 11101010
-12 11110100
00001100

Viendo de derecha a izquierda en el número binario original hasta encontrar el primer 1 se conserva
igual incluyendo este 1.

Luego cambiamos O por 1 y 1 por 0.

Diseñar un circuito que me permita realizar la resta en complemento a dos, de dos número binarios
de 4bits.

A3 B3 A2 B2 A l Bi Ao Bo

¿1 I o.

Restador de bits

D3 D2 Di Db

A3 Bi A2 B2 Al Bi Ao Bo
• i • i

C4 Co
FA FA FA HA

IL
t t t
D3 D2 Di Do

A-B =A + B*
= A + 5'+l
Diseñar un circuito lógico que permita realizar la multiplicación de dos números binarios de 2bits
cada imo.
1 1
* 1 1
1. 1
« • •
1 1
1 0 0 1
IXgítaJes 37

Al Ao
BQ
AIBQ AoBo
AiBx AQBI

AiBx AXBQ + AQBI AQBQ

A, B , A , Bo Ao B , Ao Bo

S/2 S/2

'— Q) A,B AiBo+AoB, AoBo

6.2. Comparadores de magnitud

Cuando comparamos matemáticamente dos números a y &, se da uno de tres casos, que a > b, que
a = 6 o que a < 6. E l circtdto que determina cual de los tres casos se t r a t a , se Uama comparador de
magnitud.
E l comparador de m a g n i t u d básico, compara, el b i t a, con el correspondiente b i t b, los números a y b,
por los que tienen dos entradas por donde ingresan los valores de dichos bits, y tres salidas en donde se
obtiene el resiiltado de l a comparación.

bo a> b a^b a < 6


0 0 0 1 0
0 1 0 0 1
1 0 1 0 0
1 1 0 1 0

Ao
Al A = B
A2
A3 COMPARA-
DOR DE
Bo 4 bits
Bi
B2 A<B

B3

A = 6 A = 4
B = 4 B = 6 B = 4
38 Ing. Aldrin Flores

A = 6 Olio A = 4 0100 A= 4 0100


B = 4 1100 5 = 6 1010 5 = 4 1100
10010 01110 10000

A=B

6.3. Display de 7 segmentos

Este circuito permite visualizar dígitos decimales o hexadecimales.

a a
Decodifica-
Ao f b
dor
Al g
Binario
A2 7
A3 segmentos

Display

A3 A2 Al Ao a h c d e / 9
0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 1 0 0 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0
3 0 0 1 1 0 0 0 0 1 1 0
4 0 1 0 0 1 0 0 0 1 1 0
5 0 1 0 1 0 1 0 0 1 0 0
6 0 1 1 0 0 1 0 0 0 0 0
7 0 1 1 1 0 0 0 1 1 1 1
8 1 0 0 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 0 1 0 0
A 1 0 1 0 0 0 0 1 0 0 0
B 1 0 1 1 1 1 1 0 0 0 0
C 1 1 0 0 0 1 1 0 0 0 1
D 1 1 0 1 1 0 0 0 0 1 1
E 1 1 1 0 0 1 1 0 0 0 0
F 1 1 1 1 0 1 1 1 0 0 0
íD^gftaies 39

Diseñar un circuito que funcione como sumador y restador en complemento a 2 al mismo tiempo.

A3 B3 A2 B2 AI Bi Ao Bo

Sumador / Restador o Sumador


de 4 bits Restador
complemento a 2

D3 D2 Di Db

A3 B3 A2 B2 Al Bi Ao Bo

C4
I s I Co

IL

t 1' t t
S3 S2 s, So

X Y X'Y + Y'X
0 0 0
0 1 1
1 0 1
1 1 0
7

Método de Quine McCluiskey

Implicíuite.- Si una función booleana es l a expresada como u n a suma de productos cada uno de los
términos producto viene a implicante.
F = ab + a'bc + a'c/

Implicantes primos.-
abc y ab</, a'bdd y a'bdd
Son implicantes primos porque difiere en i m o de ellos.

I m p l i c a n t e s p r i m o s esenciades.- Son los términos p r o d u c t o que aparecen en la mínima suma de


productos de i m a función booleana.
Este método se basa en 2 pasos principales.

1) Se elimina la mayor cantidad de literales posibles de cada termino usando sistemáticamente el


teorema

xy + xy' — X

Los términos resultantes se llaman I M P L I C A N T E S P R I M O S .

2) Se usa una t a b l a de implicantes primos para de allí encontrar los I M P L I C A N T E S P R I M O S


ESCENCIALES.

P a r a utilizar el método de Quine McCluskey es necesario que la función booleana este expresada como
n o a sama de expansión de minterminos.
Simplificar:
/ ( a , b.cd) = Em(0,1,2,5,6,7,8,9,10,14)

41
42 Ing. Aldrín Flores

COLUMNA I COLUMNA I I COLUMNA III


GRUPO 0 0 0000 (0,1) 000- (0,1,8,9) -00-
1 0001 (0,2) 00-0 (0,2,8,10) - 0 - 0
GRUPO 1 2 0010 (0,8) -000 (0,8,1,9) -00-
8 1000 (1.5) 0-01 (0,8,2,10) - 0 - 0
5 0101 (1.9) -001 (2,6,10,14) - - 1 0
GRUPO 2 6 Olio (2.6) 0-10 (2,10,16,14) - - 1 0
9 1001 (2.10) -010
10 1010 (8.9) 100-
GRUPO 3 7 0111 (8.10) 10-0
14 1110 (5,7) 01-1
(6,7) 011-
(6,4) -110
(10,14) 1 - 10
(1,5) = a V d
(5, 7) = a'bd
( 6 , 7 ) = a'bc
( 0 , 1 , 8 , 9 ) = ftV
( 0 , 2 , 8 , 1 0 ) = b'd'

f = a'c'd + a'bd + a'bc + b'c' + b'd! + c + d!

0 1 2 5 6 7 8 9 10 14
(0,1,8,9)
(0,2,8,10) i J L X
(2,6,10,19) -j-
(1,5) X
f
(5,7)
(6,7) X X

Simplificar usando el método de Quine McKuiskey


/ = Sm(0,l,2,5,6,7)
0 000 (0,1) 00-
1 001 (0,2) 0-0
2 010 (1,5) -01
5 101 (2,6) -10
6 110 (5,7) 1 - 1
7 111 (6,7) 11-
Tabla de implicantes primos
0 1 2 5 6 7
(0,1)
(0,2)
(1,5)
(2,6)
(5,7)
(6,7)
43

f = a^¿^l/c + ab

7.1. Simplificación de funciones incompletamente especificada usando


el método de Quine Me Cluiskey
f{a, b, c, d) = Em(2,3,7,9,11,13) + E x ( l , 10,15)
1 0001 (1,3) 00-1 (1,3,9,11) -0-1
2 0010 (1,9) -001 (2,3,10,11) -01-
3 0011 (2,3) 001- (3,7,11,15) --11
9 1001 (2,10) -010 (9,11,13,15) 1-11
10 1010 (3,7) 0-11
8 0111 (3,11) -011
11 1011 (9,11) 10-1
13 1101 (9,13) 1-01
15 1111 (10,11) 101-
(7,15) -111
(11,15) 1-11
(13,15) 11-1

2 3 7 9 11 13
ir r r
(1,3,9,11) k J

(2,3,10,11) 4 -7 r
Y "1
(3,7,11,15) j
J
(9,11,13,15)
4 4i

f = b'c + cd + ad
8

Formatos para representación de datos

Código.- Asigna un conjunto de I s y Os a cada elemento de información (números, letras, símbolos,


etc.).
El número de elementos de información que puede ser representado por N, es:

iV < 2"

Donde n es el número de bits del código.

8.1. Tipos de códigos

8.1.1. Códigos numéricos:

Representan información relacionada únicamente con números.

I . Código B C D :

Representa cada dígito decimal por cuatro dígitos binarios.

DECIMAL BINARIO BCD


0 GODO 0000
1 0001 0001
2 0010 0010
3 0011 0011
4 0100 0100
5 0101 0101
6 Olio Olio
7 0111 0111
8 1000 1000
9 1001 1001

Ejemplo 21 Representar el número 356,07io en el código BCD.

0011 0101 O l i o . 0000 0111

45
46 Ing. Aldrin Flores

II. Código de exceso a tres:


Es similax al código BCD solo que a este código se le suma tres en binario.
DECIMAL BINARIO' BCD EX 3
0 0000 0000 0011
1 0001 0001 0100
2 0010 0010 0101
3 0011 0011 Olio
4 0100 0100 0111
5 0101 0101 1000
6 Olio Olio 1001
7 0111 0111 1010
8 1000 1000 1011
9 1001 1001 1100
E j e m p l o 22 Representar el número 950,23io en el código Exceso a Tres.
1100 1000 0011 . 0101 Olio

III. Código G R A Y :
Dos números consecutivos difieren entre sí solamente en tm dígito.
DECIMAL BINARIO GRAY
0 0000 0000
1 0001 0001
2 0010 0011
3 . 0011 0010
4 0100 Olio
5 0101 0111
6 Olio 0101
7 0111 0100
8 1000 1100
9 1001 1101
10 1010 1111
11 1011 1110
12 1100 1010
13 1101 1011
14 1110 1001
15 1111 1000

Ponderaciones

DECIMAL GRAY
±1 0001
±3 0010
±7 0100
±15 1000
Siatemas Digitales 47

15T31
OllOcAAF 1 x 7 - 1 x 3 = 4:DEC

8.1.2. Códigos alfanuméricos:

En este t i p o de código se representan los 10 dígitos decimales, las letras del alfabeto y algunos símbolos
especiales.

1. Código E B C D I C :

Es u n B C D extendido para letras y símbolos.

I I . Código A S C I I :

Código Americano Estándar para Intercambio de Información


Es u n código de 7 bits, de 128 símbolos

0 — * 011 OOOÜB/iv — • 30HEX

1 —> 011 OOOIB/JV — ^ ^IHEX

A — y 100 OOOIBIN — ' "ÍIHEX

B —> 100 miQeiN —> ^2HEX

+ —y 010 IOIIB/JV — > "¿BHEX

T a b l a d e códigos A S C I I - F o r m a t o d e c a r a c t e r e s estándsires

ASCII Hex Símbolo ASCII Hex Símbolo ASCII Hex Símbolo ASCII Hex Símbolo
0 0 NUL 16 10 DLE 32 20 (espacio) 48 30 0
1 1 SOH 17 11 DCl 33 21 ! 49 31 1
2 2 STX 18 12 DC2 34 22 50 32 2
3 3 ETX 19 13 DC3 35 23 # 51 33 3
4 4 EOT 20 14 DC4 36 24 $ 52 34 4
5 5 ENQ 21 15 NAK 37 25 % 53 35 5
6 6 ACK 22 16 SYN 38 26 & 54 36 6
7 7• BEL 23 17 ETB 39 27
í
55 37 7
8 8 BS 24 18 CAN 40 28 ( 56 38 8
9 9 TAB 25 19 EM 41 29 ) 57 39 9
10 A LF 26 lA SUB 42 2A * 58 3A :
11 B VT . 27 IB ESC 43 2B + 59 3B
12 C FF 28 IC FS 44 2C 60 3C <
13 D CR 29 ID GS 45 2D - 61 3D
14 E SO 30 lE RS 46 2E 62 3E >
1 1»
F SI 31 IF US 47 2F . / 63 3F ?
9

Componentes digitales

9.0.4. Escalas de Integración

SSI (Small Sale Integration)# compuertas < 10 =>- Compuertas básicas

M S I (Médium Scale Integration) 10 < # compuertas < 100 Decodificadores, sumadores,


multiplexores

L S I (Large Scalé Integration) Pequeños circuitos de memoria, módulos programables #compuertas


200 ==» imidades de miles

V L S I (Very Large Scale Integration) = í - Microprocesadores actuales, matrices de memoria grandes,


chipset PCs; decenas de miles millones

9.1. Multiplexores

Circuitos digitales que en forma genérica tienen multiplexores de dos a uno.


2" = Entrada de datos
n Entrada de control
1 = salida

(Entrada) i (Salida)

(Entrada de control)

Tabla de funcionamiento

49
50 Ing. Aldria Flores

loA'

A Z
Z = IoA' + I , A

0 lo IiA
1 h

^ = O = Z = /o
A=l=Z=h
Z = IQA!B' + hA'B + hAB' + hAB

9.1.1. M U X 4 a 1

Mux A B z
0 0 lo
0 1 h
1 0 h
1 1 h
A B

Se tiene 2 números binarios de 4 bits cada uno, diseñar u n c i r c u i t o que me indique a la salida el
número mayor.
A = A3A2A1A0

B = B3B2B1BQ
¡Xfftaks 51

Ao
A,
a
A2 b
A3 c
d
e — •
f
Bo t
B, g
B2
B3

Ao A Zo = Ao
Ao- lo
A, 2al


A = B Bo-
A2 Ii
A3 Comp. A>B 10
Bo 4 bits lo
A, Z,=A,
B, 2al
B2 B, Decod. 4 c
I.
B3 T
=ro' A 7 e
A2- lo Z2 = A2 segmentos X
2al
B2
Ii
10

Aa- lo Z3=A3
2al
B3
Ii

9.2. Buffers

Definición 24 Son circuitos digitales que amplifican la capacidad de corriente y sirven de protección
para otros circuitos digitales.

1. Buffer no inversor

2. Buffer inversor

9.2.1. BuíFer no inversor de 4 entradas

Significa que se I3 I2 Ii lo
activa en bajo (cero)
Amplificador de
corriente

Entrada de
iiabi litación
Y3 Y2 Yi Yo
52 Ing. Aldrin Flores

E — O —> yn = In

E = 1 — y yn = A l t a Resistencia (Alta R)

9.2.2. Buffer inversor de 4 entradas

I3 I2 Ii lo

£: = O ^ y„ = 7„

^ ^ ^ ^ E = 1— y Y n = Alta Resistencia (Alta R)

E = 0 —»• yn = In
E = 1 — y yn = Alta Resistencia (Alta R)
Se tiene 4 números binarios de 4 bits .
Se desea mostrar los números en un display de 7 segmentos de acuerdo a la siguiente tabla:

A = 713^2^1^0
B = B3B2B1B0
C = C3C2C1C0
D = D3D2D1D0
De acuerdo a la tabla

Mi MQ Display
0 0 A
0 1 B
1 0 C
1 1 D
Utilizar Buffer y Decodificadores.

H
a
Decodifi- b
cador c
Binario d
e
7 f
g
segmentos

BUFFER -I BUFFER -I BUFFER -1 BUFFER

A j A 2 A l Ao B , B 2 B i Bo C3 C 2 C i C o D j D2 D i Do
D Y3

Y, Decodifl- -Mo
cador
B
2a4 -Mi
Sstemm Di^taks 53

H a D a r el mismo ejercicio anterior con mux

D
Decodifi-
c
cador

B Binario
e
7 T
A segmentos

Display

MUX4al MUX4al M U X 4a 1 MUX4al

A3 B3 C j D3 A 2 B 2 C2 D I A l B i C i Di A o Bo C o D o

•M,

9.3. Diseño combinacional con multiplexores

Ejemplo 25

1. Dado un mux 4 a 1 obtener la siguiente función


f{a, b, c) a'b' + ac
= a'6'(l) + ac(6 + 6')
= a'b'{l) + abe + ab'c + a'6(0)

lo-
Mux Z=
Ii
I2
4al
I3

2. Utilice un mux A a 1 y las compuertas que crea necesarias para representar la siguiente función
f{a, b, c, d) - a'b'd + a'b'd + a'bc + abd' + b'dd' + ab'cd
lo^d + d
h = c

12 = dd + cd'

13 = d'

\ A B

00 ÍI !1 li
01

11
m 1
SJ m
10 m 1 1
54 Ing. Aldrin Flores

9.4. Decodificadores de m i n t e r m i n o s ( m a x t e r m i n o s )

Yo a b 13 Y2 Yi Yo
Decodifi-
A Y( 0 0 0 0 0 1
cador
Yz 0 1 0 0 1 0
B 2a4 Y, 1 0 0 1 0 0
1 1 1 0 0 0

A B

Decodificador 2 a 4 con entrada de habilitación


E ai ao Y3 Y2 Yi Yo
ao-
Yo
Decodifi- 0 X 0 X0 0 0
ai
Y,
cador 1 0 0 0 0 0 1
Y2
1 0 1 0 0 1 0
2a4 Y3
1 1 0 0 1 0 0
1 1 1 1 0 0 0
Utilizando el decodificador que sea necesaxio representar la siguiente booleana
F(a,6,c) = Sm(l,2,3,6)

1
a
b
c Decodifi-
cador

3a8

Decodificador de maxterminos
E' ai ao Yz Y2 Yi Yo
ao-
Yo
Decodifi- 1 X 1 X 1 1 1
ai
Y,
cador 0 0 0 1 1 1 0
Y2
0 0 1 1 1 0 1
2a4 Y.
0 1 0 1 0 1 1
0 1 1 0 1 1 1
Utilizando un decodificador 3 a 8 con salidas O representar la siguiente función
Sistemas I^'taies 55

F{a. 6. c) = a'í/ -i- ac


ai

a3
Decodifi-
cador

3a8

Construir un decodificador 3 a 8 utilizando un.decodificador 2 a 4

Decodifi- a

y
cador b
Ao c
A, 2a4 d

f
\
Decodifi-
cador
e
f
g
2a4 h
10

Ejercicios resueltos

1. Granear la siguiente función con compuertcis lógicas:

F = a'h + cd

Solución 26

'-OH

F

d»-

2. Simplificar mediante mapas K , / ( a , 6, c, d) — acd + a'b + d'

Solución 27

.AB
00 01 11 10
00 !1 1 1 1)
01 1 F =^d' + ac + a'b
11 1 n TI
10 sifflíñni

3. Sean ABCD los valores binarios para u n digito BCD. Hacer la tabla para valores posibles para
ABCD, y hallar una función / que indique con I L cuando estamos con u n digito B C D (0 — 9) y
cuando es mayor a 9 seria OL.

Solución 28

57
Ing. Aldrin Flores

A B
c D F
0 0 0 0 0 1
1 0 0 0 1 1
2 0 0 1 0 1 C t í \0 01 11 10
3 0 0 1 1 1 00 1 1 1
4 0 1 0 0 1 01 1 1 ll F = A' + C'B'
5 0 1 0 1 1 11 1 1
6 0 1 1 0 1 10 1 I
7 0 1 1 1 1
8 1 0 0 0 1
9 1 0 0 1 1
10 1 0 1 0 0
11 1 0 1 1 0
Estas
12 1 1 0 0 0
combinaciones
13 1 1 0 1 0
no se dan
14 1 1 1 0 0
15 1 1 1 1 0

4. Empleando las tablas de Quine-McCluskey simplificar la siguiente función booleana:

/ ( a , &, c, d) = S m ( 2 , 3 , 7,9,11,13) + S x ( l , 10,15)

Solución 29 / ( a , h, c, d) = E m ( 2 , 3 , 7 , 9 , 1 1 , 1 3 ) + S x ( l , 10,15)

Columna I Columna I I Implicantes primos


1 0001 (1,3) 00-1 (1,3,9,11) - 0 - 1
Grupo 1
2 0010 (1.9) -001 (2,3,10,11) - 0 1 -
3 0011 (2,3) 001- (3,7,11,15) - - 1 1
9 1001 Grupo 2 (2.10) -010 (9,11,13,15) 1-11
10 1010 (3,7) 0 - 11
8 0111 (3,11) -011
11 1011 Grupo 3 (9,11) 10-1
13 1101 (9,13) 1 - 01
15 1111 } Grupo 4 (10,11) 101-
(7,15) -111
(11,15) 1-11
(13,15) 11-1

2 3 7 9 11 13
r
(1,3,9,11) >. L L

r r
(2,3,10,11) Y
L

(3,7,11,15) i r r
L
r
(9,11,13,15) V

f = b'c + cd + ad

5. Realizar l a evaluación de la expresión booleana y realizar el diagrama respectivo

F = {A' + B + Cy + {B + C) + {B' + C)
Difftaíes 59

Solución 30

F = AB'C + BB' + BC + CB + C'B' + C'C

F = AB'C + Q + BC + B'C +O

F = C{AB' + B) + B'C

F = C{B + AB') + B'C

F^C{B + A) + B'C

F = BC + AC + B'C

Diagrama de la función

* F

6. Un tren eléctrico subterráneo dispone de control automático y manual; en control automático puede
partir de la estación si todas las puertas están cerradas y la pista esta libre, en control manual puede
partir con las puertas abiertas o cerradas, pero la pista debe estar libre. Presentar el circuito solo
con compuertas NAND que indique con 1 en la salida que el tren partió.

Solución 31

A : Control automático : O A' : Control manual : 1


B : puertascerradas : O B' : puertas abiertas : 1
C : pista libre : 1 C : pista ocupada : O

Expresión booleana Tabla lógica


F = A'B'C + AB'C + ABC
F = B'C{A! + A) + ABC
A B c F
0 0 0 0
F = B'C + ABC 0 0 1 1
F = C{B' + BA) 0 1 0 0
F = C{B' + A) 0 1 1 0
1 0 0 0
F = CB' + AC
1 0 1 1
F = B'C + AC
1 1 0 0
En compuertas NAND 1 1 1 1
(F)'= {{B'C + AC)')'
60 Ing. Aldrin Flores

Diagrama lógico

7. Diseñar un circuito que permita resolver la siguiente suma binaria


A3A2A1A0

B3B2B1B0

C3C2C1C0

Solución 32
Diagrama lógico

A3 B3 A2 B2 A, E1 Ao Bo

n V
C4 Q,
FA FA FA FA
OL
D3 D2 D, Do

FA k FA FA k FA
OL

t t
S'3 S'2 S'i S'o

OL
FA

t
S4

Utilizando el método de Quine McCluskey simplificar la siguiente función booleana

/(a, 6, c,d) = (0,1,2, 5,6,7,8, 9,10,14)

Solución 33
Sistemas Díctales 61

a b c d f Columna I Columna II
0 0 0 0 1 GRUPO 0 0 0000 (0,1) 000-
0 0 0 1 1 GRUPO 1 1 0001 (0,2) 00-0
0 0 1 0 1 2 0010 (0,8) -000
0 0 1 1 0 8 1000 (1,5) 0-01
0 1 0 0 0 GRUPO 2 5 0101 (1,9) -001
0 1 0 1 1 6 Olio (2,6) 0-10
0 1 1 0 1 9 1001 (2,10) -010
0 1 1 1 1 10 1010 (8,9) 100-
1 0 0 0 1 GRUPO 3 7 0111 (8,10) 10-0
1 0 0 1 1 14 1110 (5,7) 01-1
1 0 1 0 1 (6,7) 011-
1 0 1 1 0 (6,4) -110
1 1 0 0 0 (10,14) 1-10
1 1 0 1 0
1 1 1 0 1
1 1 1 1 0

Columna III Implicantes primos


(0,1,8,9) -00- abcd
(0,2,8,10) -0-0 (1,5) 0-01
(0,8,1,9) -00- (5,7) 01-1
(0,8,2,10) -0-0 (6,7) 011-
(2,6,10,14) --10 (0,1,8,9) -00-
(2,10,16,14) --10 (0,2,8,10) -00-
(2,6,10,14) - - 1 0

0
"V
1 2
\r
5 6 7 8 9
V
D H
(0,1,8,9) Y

(0,2,8, D)
x j k
•5
ir X
" r V
(2,6,D,P) A F = a'hd + feV + cd!
(1,5)
(5,7)
?
r
(6,7) 1 X

9. Evaluar la siguiente expresión Booleana


y = [x{y + z ) ' ] ' + XW + Q si x = y= 1; tt; =^ 0; z = 1;

Solución 3 4
y =r [x{y + z)'\'xw + O
y = [1(1 + 1)']'+ 1,0 + O
y = [l(l)']'0 + 0
y = [1(0)]' + O

y = [0]'
y = i
62
Ing. Aldrin Flores

10. Diseñar u n sumador de u n b i t sin carry de entrada pero con carry de salida.

S o l u c i ó n 35

Ai Bi •^t Ci+1
Ai Bi
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1

Si

O 0 1 0 1
1 1 0 1 0
Si = AiB¡ + A\Bi Ci+i = AiBi
Si^Ai® Bi

11. Diseñar u n circuito que nos p e r m i t a realizar la multiplicación de 2 números binarios de 2 bits.
ai ao
h 6o
a i 6o ao6o
ao6i
ai6i a i 6o + ao6i ao6o

S o l u c i ó n 36
ai b i ao bo

1 t
So
FA FA
OL
J
S,

12. Se tiene u n número binario N = 636160. Diseñar i m circmto t a l que la salida / = 1 si TV > 011 : / = Q
s i i V < 011

Solución 37
Sistemas Díctales 63

62 h 60 /
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1 / = b'2hbo + b2b[b'o + b2b[bo + 6261 ¿ó + 626160
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1

b . ^ o
00 0 m bo
01 0 1 b,
11 (1 1
10 0 X
7 = 62 + 6160

13. Simplificar la siguiente expresión utilizando algebra booleana y diseñar el circuito lógico

F = A + B + C+{B + C){B + C)

Solución 38

F - A"B'C" + BB' + BC + C'B' + C'C

F = AB'C + BC + B'C

F = C{AB' + B) + B'C

F = C{A + B) + B'C

F = AC + BC + B'C

A'
C

B
-• F

o-

14. Simplificaj la función / = a'6c' + a'6c + a6c' + abe utilizando el método de Quine MC Cluskey

Solución 39
64 Ing. Aldrin Flores

b2 bi bo /
0 0 0 0 0
0 0 1 0 1 Columna 1 Columna 2 Columna 3
0 1 0 0 2 GRUPO I 2 010 (2,3) 01- (2,6,3,7) -1
0 1 1 1 3 GRUPO II 3 011 (2,6) -10
1 0 0 1 4 6 110 (3,7) -11
1 0 1 1 5 GRUPO III 7 111 (6,7) 11-
1 1 0 1 6
1 1 1 1 7
f = b

15. Construya un M U X de 16 a 1 con M U X de 8 a 1. Utilice diagramas de bloque

Solución 40

— — Mux -7

Sal
b c d

Sal

16. Hallar el complemento a 1 de 6 en una representación binaria de 4 bits


M =z ñ
N = {2" + l)-6
u = 4
N-? Ar = ( 1 6 - 1 ) - 6 = 9 = 1001

Solución 4 1
6 = 0110

- 6 = 1001

17. Un registro de 8 bits tiene almacenado el número 78Í3. Hallar su equivalente en HEX y su equivalente
en BCD
0 1 0 0 1 1 1 0

4 E

Solución 42
78D = = O l O O l l l O B C D

78Í? = = AEHEX
11
Ejercicios propuestos

1. Reducir las siguientes expresiones booleanas, expresar con compuertas lógicas y evaluar si a = 1,
6= 1y c= O

a) abe + a'b'c + a'hd + a'l/d

h) a'c{a'bdy + a'bdd' + ab'c

c) {a'+ b){a + b + d)d'

d) abc + a'bcd + a'b'd + b'd'

2. Obtenga las expresiones simplificadas usando mapas K

a) / ( x , y , ^ ) = S m ( 2 , 3 , 6 , 7 )

h) / ( a , 6 , c , d ) = S m ( 7 , 1 3 , 1 4 , 1 5 )

c) / K X , T/, z) = E m ( 2 , 3 , 1 2 , 1 3 , 1 4 , 1 5 )

3. Simplificar las siguientes expresiones usando mapas K .

a) xyz + x'yzw + x'y'w + y'w'

b) a'b'd + a'bc + abc + ab'cf + ab'c

c) f{a, b, c, d) - S m ( 7 , 1 3 , 1 4 , 1 5 ) + S x ( 0 , 6 , 4 )

d) f{w, X, y, z) = S m ( 2 , 3 , 1 2 , 1 3 , 1 4 , 1 5 ) + S x ( 0 , 1 , 7)

4. U n n ú m e r o binario de cuatro bits se representa como A 3 ^ 2 ^ i ^ 0 - D i s e ñ e u n circuito lógico que


produzca una salida A L T A siempre que el n ú m e r o binario sea mayor que 0010 y menor que 1000.

5. L a figura muestra u n contador B C D que produce una salida de cuatro bits que representa el código
B C D para el n ú m e r o de pulsos que se han aplicado en la entrada del contador. Por ejemplo d e s p u é s
de cuatro pulsos, las salidas del contador son DCBA = OIOO2 = 4io. se reinicializa el contador
con el valor de 0000 d e s p u é s del d é c i m o pulso y comienza a contar de nuevo. E n otras palabras las
salidas D C B A nunca r e p r e s e n t a r á n un n ú m e r o mayor que IOOI2 = 9io- Diseñe el circuito lógico que
produzca una salida en A L T O cada vez que el valor de la cuenta sea 2, 3 o 9. Utilice el mapa de

65
66 Ing. Aldrín Flores

K a r n a u g h y aproveche las condiciones "no i m p o r t a " .

D(MSB)

Contador Circuito X^ A l t o sólo cuando


BCD B Lógico DCBA = 2io, 3io, o 9io

6. L a figtu-a muestra cuatro interruptores que son paxte de la circuitería de control de una máquina
copiadora. Los interruptores se encuentran en distintos pulsos a lo largo del camino que recorre el
papel dentro de la máqmna. Cada interruptor esta normalmente abierto y, cuando el papel pasa
sobre él, el i n t e r r u p t o r se cierra. Es imposible que los interruptores S i y S4 se cierren al mismo
tiempo.

Diseñe u n circuito lógico que genere una salida A L T O cada vez que dos o más interruptores estén
cerrados a l mismo t i e m p o . Utilice el mapa K y aproveche las ventajas ofrecidas por las condiciones
"no i m p o r t a " .

+v

CIRCUITO A l t o cada vez que se cerran dos


+v LOGICO
S3 o más interruptores.

+v
S4

• S i y S 4 nunca se cierran a l mismo tempo.

7. Representar las siguientes funciones utilizando a) decodificadores y b) Multiplexores

a) abc + a'bc' + ab'cf

b) a'bc' + a'b'c! + ab'c

c) x'y'z'w + xyzw + xy'z'w' + x'y'zw

d) x'yz'w' 4- x'y'z'w' + xyzw' + x'y'z'w

8. Construir u n decodificador 6 — 64 usando decodificadores 4 — 1 6 y ' 2 — 4 con habilitador

9. Construir u n M u x 3 2 - 1 usando M u x 8 - 1 y M u x 2 - 1 .

10. Diseñe u n controlador de 4 bits como se muestra en la figiu-a y según la tabla siguiente.

a) Use multiplexores 4 — 1
Sfatemas D^gitaJes 67

b) Utilice compuertas lógicas

A B
A B Oo Oi 02 03

Co. 0 0 0 0 0 0
Oo
C, O,
0 1 1 1 1 1
C2. O2
1 0 'C'o c[ C'2
C3. O3
1 1 Co Ci C2 C3

11. Diseñe un multiplexor de prioridad que puede ser usado para conectar la línea de salida apropiada
{0A,0B,0C,0D) de alguno de los cuatro dispositivos a la línea de salida del sistema (Output)
sujetos a la siguiente prioridad.
DISPOSITIVO A: primero (mayor prioridad)
DISPOSITIVO B: segundo
DISPOSITIVO C: tercero
DISPOSITIVO D: cuarto (menor)
Cada dispositivo también tiene una línea de respuesta {RA, RB, Re, RD) que es verdadera cuando
el sistema ha dado salida para este dispositivo. El multiplexor de prioridad puede también retornar
una señal a cada dispositivo {SA: SB, SC, SD) indicando cuando el dispositivo ha sido aceptado en
su pedido.
Implemente las salidas SA, SB, SC, SD mientras que las salidas z con 4 Mux de 16 a 1.

• Es decir R pide servicio y de acuerdo a la prioridad del Multiplexor responde con S cuando
está en capacidad de dar servicio, es decir transferir información de la que tiene el dispositivo
para solo pasarla por el Mux.

Dispositivo Dispositivo
B C
OB Oc
RB SB Re
RD
Dispositivo Dispositivo
A OA
MULTIPLEXOR DE
OD D
PRIORIDAD
RA SD

Output

12. Diseñar un circuito decodificador BCD a 7 segmentos (cátodo común)

13. Diseñar un multiplicador de 2 números de 2 bits.

14. Diseñar un circuito que permita sumar 4 números binarios de 4 bits.

15. Diseñar un circuito para simaar tres números binarios de 3 bits c/u
68 Ing. Aldrín Flores

a) U t i l i c e celdas H a l f adder y F u l l adder

b) U t i l i c e sumadores integrados de 4 bits.

16. Diseñar u n circuito que p e r m i t a realizar la multiplicación de dos números binarios de 3 bits.

a) U t i l i c e celdas H a l f adder y F u l l adder


b) U t i l i c e sumadores integrados de 4 bits.

17. Dados A, B y C tres números binarios de 4 bits, diseñar u n circuito que encuentre el mayor de los
tres y lo presente en display.

18. Dados A y B dos números binarios de 4 bits diseñar u n circuito que muestre el resultado A — B
con signo en un display y módulo en otro display.

19. Dados A, B y C tres números binarios de 4 bits, diseñar u n circuito que presente, en display la
diferencia entre el mayor y el menor

20. Pasar el sistema 27,02510 a binario.

21. Expresar con 8 dígitos en el sistema de coma flotante el número 3,000,00010.

22. Hasta que número máximo podemos contar con 10 bits?

23. Cuantos bits se necesita para contax hasta 511?

24. Pasar a binario el número 3CB16

25. Convierta 24C£'i6 a decimal

26. Defina cada uno de los siguientes términos:

a) Forma complemento a 2

b) B i t de signo

c) Sumador paralelo

27. Agregue u n b i t de paridad impar al código A S C U $ y exprese el resultado en hexadecimal

28. Codifique estos números decimales a B C D :

47 42,689,627
962 1204

29. Agregue u n b i t de paridad par al código B C D del número decimal 69

30. Se desea gobernar u n motor desde cuatro interruptores A, B, C y D de forma que entre en
funcionamiento si están cerradas tres de ellas y solo tres .Hallar:

a) Tabla de verdad y ecuación que gobierna el motor.

b) Esquema eléctrico del automatismo.

c) Esquema lógico mediante puertas de la ecuación

31. Resolver utilizando puertas Ñ O R exclusivamente la siguiente ecuación :

F = ABC + A'.B'{B + C)
Siseenias Dtgíta/es 69

32- Cuál es la única combinación de entrada que producirá un estado ALTO de salida de una compuerta
AND de cinco entradas?

33. Cuál es el único conjimto de condiciones de entrada que producirá una salida ALTA a partir de tma
compuerta ÑOR de tres entradas?

34. Simplificar mediante el diagrama de Karnangh la siguiente ecuación :

F = ABC + AB'C + ABC + A'BC'

35. Empleando las tablas de Quine-McClxiskey simplificar la sigtdente función booleana:

F - ab'dd' + a'h'd + a'ydd + a'bcd! + abcd

36. Empleando las tablas de Quine-McCluskey simplificar la sigmente función booleana:

F = a'b'dd' + a'b'cd' + a'bcd' + a'bcd + ab'cfd' + ab'dd + abdd + abcd

37. Utilizando los mapas de Karnaugh para OR exclusivo obtener la ecuación simplificando de la función
representada por la tabla siguiente:

a b c d /
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 0
0 1 0 0 1
0 1 0 1 0
0 1 1 0 0
0 1 1 1 0
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 0
1 1 0 1 1
1 1 1 0 0
1 1 1 1 0

38. Utilizando los mapas de Karnaugh para OR exclusivo obtener la ecuación simplificando de la función
70 Ing. Alaria Flores

representada por la tabla siguiente:

a b c d /
0 0 0 0 0
0 0 0 1 0
0 0 1 0 1
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 0
0 1 1 1 0
1 0 0 0 1
1 0 0 1 1
1 0 1 0 0
1 0 1 1 0
1 1 0 0 0
1 1 0 1 0
1 1 1 0 1
1 1 1 1 1

39. U n circuito posee cuatro entradas a,b,cy d. E l circuito responderá con u n 1 cuando las entradas a
y d sean 1 ó cuando las entradas 6 y c valgan 0. Por razones de p r i o r i d a d las combinaciones a~l,
6 = 1, c = 0, d = O y a = 0, 6 = 1, c = 0, d = l serán indiferentes. Implementar circuito con puertas
Ñ O R de dos entradas.

40. U n circuito posee cuatro entradas a, 6, c y d. E l circuito responderá con u n O cuando las entradas a
y d sean 1 ó cuando las entradas 6 y c valgan 1. Por razones de p r i o r i d a d las combinaciones a = 1,
6 = 0, c = l , d = l y a = l , 6 = 1 , c = l , d = l serán indiferentes. Implementar circuito con puertas
N A H D de dos entradas.

4 1 . Diseñar mediajite puertas Ñ O R u n circuito combinacional de tres entradas que detecte cuando se
cumple las condiciones expresadas en la tabla. Llamaremos a, 6 y c a las tres entradas de mayor a
menor pero en binario:

F l = 1 si el número es > 5 en binario

F 2 = 1 si el número es < 3 en binario

F 3 = 1 si el número es = 4 en binario

42. A p l i c a n d o el teorema de Morgan la función = A + B es igual a :

o) A'B'

b) XTB
c) A' + B'

43. Con u n puerta Ñ O R y los I N V E R S O P R E S que se deseen dibujar el diagrama que implementa una
función A N D .

44. Para cada una de las siguientes expresiones construya u n circuito lógico correspondiente utilizando
compuertas A N D y O R además de inversores
Sistanas Digitedes 71

45. C u á l es l a ú n i c a c o m b i n a c i ó n de e n t r a d a q u e p r o d u c i r á u n e s t a d o A L T O d e s a l i d a de u n a c o m p u e r t a
A N D de cinco entradas?

a) z - (MTÑ) + PQ

b) x = {WTPQY

4 6 . E l e q u i v a l e n t e d e c i m a l d e l c o m p l e m e n t o a dos de 1 0 1 1 es :

a) 3

b) 5

c) 7

d) 9

4 7 . E l c o m p l e m e n t o a dos d e l n ú m e r o d e c i m a l 9 es :

a) 1001

b) 0 1 1 1

c) 1000

d) Olio

4 8 . S u m e los s i g u i e n t e s g r u p o s de n ú m e r o s b i n a r i o s p o r a d i c i ó n b i n a r i a .

a) 1010 + 1 0 1 1

b) 1 1 1 1 + 0 0 1 1

c) 1011,1101 + 11,1

d) 0 , 1 0 1 1 + 0 , 1 1 1 1

e) 10011011 + 10011101

4 9 . R e p r e s e n t a r c a d a t m o d e los n ú m e r o s d e c i m a l e s c o n los s i g n o s e n e l s i s t e m a de c o m p l e m e n t o a 2.
u t i l i c e un t o t a l d e o c h o b i t s , i n c l u y e n d o e l d e l s i g n o

+32 - 1
-14 -128
+63 +169
-104 O

5 0 . R e p r e s e n t e c a d a u n o de los s i g u i e n t e s v a l o r e s c o m o u n n ú m e r o de c i n c o b i t s c o n s i g n o e n e l s i s t e m a
complemento a 2 :
+13 -7 -16

5 1 . C u á l es e l i n t e r v a l o d e n ú m e r o s d e c i m a l e s c o n s i g n o q u e se p u e d e n r e p r e s e n t a r c o n 12 b i t s i n c l u y e n d o
el signo?

5 2 . C u á n t o s b i t s se r e q u i e r e n p a r a r e p r e s e n t a r los n ú m e r o s e n t e r o s d e c i m a l e s q u e se e n c u e n t r a n e n t r e
- 5 0 y +50?

53. C u á l es e l i n t e r v a l o de valores d e c i m a l e s s i n s i g n o se p u e d e r e p r e s e n t a r c o n 10 b i t s y c u a n t o s se
p u e d e r e p r e s e n t a r i n c l u y e n d o e l s i g n o e m p l e a n d o e l m i s m o n ú m e r o s de b i t s .
72 Ing. Aldrin Flores

54. Realizar el circuito de u n restador de 4 bits y complemento a 2.

55. Sume los números hexadecimales 58 y 24

56. M u l t i p l i q u e los siguientes pares de números :

a) 111 X 101

b) 1011 X ion
c) 1101 X 1011

57. Diseñar i m circuito sumador para 2 números binarios de 4 b i t s cada uno.

58. Simplifique la siguiente expresión utilizando el álgebra de boole.

a) X = {M + N){M' + P){N' + P')

b) Z = A'BC + ABC + BCD

59. Simplifique las siguientes expresiones empleando los teoremas de D e M o r g a n

a) {A'B'Cy
b) {A{B + a)')'D

c) {{M + N'){M'+ N))'

60. Reducir las siguientes expresiones booleanas, expresar con compuertas lógicas y evaluar si a — 1,
6 = 1, c = 0.

a) abe + a'Vc + a'hc + abd + a'b'd

b) a'c{a'bd) + a'bc'd' + ab'c

c) {a' + b){a + b + d)clf

d) abc + a'bdc + a'Vd + Ud!

6 1 . Obtenga las expresiones simplificadas usando mapas k

a) / ( x , y , z ) = S ( 2 , 3 , 6 , 7 )

b) / ( A S , C , Í ? ) = E ( 7 , 1 3 , 1 4 , 1 5 )

c) / ( ^ , x , y , z ) = E ( 2 , 3 , 1 2 , 1 3 , 1 4 , 1 5 )

62. Simplificando las siguientes expresiones usando mapas k.

a) xz + x'yzw + x'x/w + ^Jw'

b) a'b'd + a'bc + abe + ab'd + ab'c

c) f{xy,z) = Em{2,3,6,7)

d) f{w, X, y, z) = S m ( 7 , 1 3 , 1 4 , 1 5 ) + Sa;(0,6,4)

63. Implemente u n circuito que tenga l a expresión de salida z — A' + B' + C utilizando una compuerta
NAND y un INVERSO.
Sstemas Digitales 73

64. Detennme las condiciones de entrada que se necesitan para ocasionar que l a salida de la figura pase
a un estado activo.

65. Utilice los resultados del problema anterior para obtener la t a b l a de verdad completa para el circuito
de l a figura.

66. Simplifique el circuito lógico que se muestra en l a figura.

z=ABC + AB(AC)

67. Diseñe el circuito lógico correspondiente a l a siguiente t a b l a de verdad

A B c X

0 0 ' 0 1
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 .1
1 0 1 1
1 1 0 0
1 1 1 1

68. Para el diagrama de estados de la figura, implementar el circuito secuencial use FFs J — K.

69. Mencione los bloques básicos que constituyen el circuito de u n reloj d i g i t a l


Ing. Aldrin Flores

Trace el diagrama de circuito para la transferencia paralela de los datos de un registro de tres bits
a otro mediante el uso de Flip Flops J K

Determine las expresiones mínimas para cada mapa k de las figuras.

CD CD C D CD CD C D C D CD C c
AB 1 1 1 1 AB 1 0 1 . 1 AB 1 1
AB 1 1 0 0 AB 1 0 0 1 A B 0 0
A B 0 0 0 1 AB 0 0 0 0 AB 1 0
AB 0 1 1 0 AB 1 0 1 1 A B 1 X

a) b) c)
12

Prácticas
Sistanas Diff tales 77

12.1. Práctica # 1

12.1.1. N o m b r e d e l a práctica: Familiarización con el equipo de laboratorio

Propósito:

1. Aprender como funciona y se utiliza los materiales de laboratorio como son: el laboratorio lógico y
la p i m t a de prueba lógica.

2. Comprobar las tablas de verdad de las compuertas A N D , O R Y N O T .

Equipo:
Circuitos integrados: 7 4 0 8 ( A N D ) , 7432(OR), 7404(NOT), laboratorio lógico, p u n t a de prueba lógica
y cables para conexión.

T r a b a j o p r e p a r a t o r i o : (1 p o r g r u p o ) .

- Consultar la distribución de pines de los C . I . a utilizarse.

- Traer cables y los C . I . a utilizarse .

Realización:

1. Conecte el laboratorio lógico a la fuente de poder y vea que este funcione correctamente.

2. Compruebe que las salidas de los swirchs sean alto y bajo ( 1 y 0) para esto conecte u n alambre del
switch a u n led y verifique si se prende o se apaga según el caso.

3. Conecte u n cable a la señal de 1 Hz. A h o r a conecte l a p u n t a lógica mediante el cable rojo a {+5Vcc)
y el cable negro a ( G N D ) , compruebe que la señal oscila si l a p u n t a lógica da t m tono agudo y se
prendan sus dos focos.

4. Disponga del C . I . 7408(AND) conecte el p i n (14) a Vcc y el p i n (7) a G N D . Las entradas de este
circuito conéctelas los switchs del laboratorio lógico y las salidas a los leds del mismo. Obtenga la
verificación de los resultados, t a n t o de las entradas como de las salidas, mediante el uso de la p u n t a
lógica. Tabule los resultados obtenidos en una hoja de papel bond.

5. Repetir el paso 4 para los C . I . O R y N O T .

6. Ver como reconoce el C . I . a las entradas cuando estas están en circuito abierto, ¿Cómo OL o I L ? .

Resultados:
Con los resultados obtenidos, compruebe las tablas de verdad

Cuestionario:

1. Qué es un laboratorio lógico y para que se usa?

2- Qué es una p u n t a de prueba y para que se usa?

3. Indique otros equipos de medida que se pueden utilizar en circuitos digitales.


78 Ing. Aldrin Flores

4. Se cumplió las tablas de verdad en los circuitos integrados, en caso de que no se haya cumplido que
indica esto.

5. Indique 3 razones de porque se podría dañar un circuito integrado.

6. Cuándo las entradas están en circuito abierto, como las reconoce el C.I. ¿Cómo OL o IL?.

Diagrama circuital

+VCC

VCC 14
lY
lA 4Y 13
IB 43 12
2Y 4A 11
2A 3Y
2B 38
GND 3A
7402
Sistemas Diptales 79

12.2. Práctica # 2

12^1. N o m b r e de l a práctica: Diseño de u n a c o m p u e r t a X O R utilizando compues-


r t a s básicas

Propósito:

1. Diseñar la compuerta OR exclusiva (XOR) mediante el uso de las compuertas básicas AND, OR y
NOT

2. Diseñar la compuerta OR exclusiva (XOR) utilizando solo compuertas ÑOR.

3. Realizar los circuitos lógicos y eléctricos para cada uno de los puntos anteriores.

Equipo:
Circuitos integrados: 7404 NOT, 7408 AND, 7432 OR , 7402 ÑOR, laboratorio lógico, punta de prueba
lógica y cables de conexión.

Trabajo preparatorio:

- Diseñar los circuitos a armar, (diagramas lógicos y eléctricos)

- Simular los circuitos en Proteus (print screen de la simulación)

- Traer los elementos necesarios para armar los circuitos

Realización:

1. Conecte el laboratorio lógico a la fuente de poder, vea que este funcione correctamente.

2. Para el primer circuito, disponga de los C.I.: 7404, 7408 y 7432 de cada uno de estos circuitos
integrados alimente con los pines 14 a Veo y 7 a GND. Conecte las entradas X &: Y a los switchs
del laboratorio lógico. Realice la conexión de estas compuertas mediante los cables según el circuito
lógico de la figura.

3. Compruebe que las entradas X &; Y den un valor de Alto (1) y Bajo (0) utilizando la punta de
prueba lógica.

4. Para el segundo circuito, disponga de los C.I.: 7404 y 7402, realice la conexión paja la alimentación
y las entradas X & Y descritas en el paso 2 , y construya el circuito eléctrico según la figura del
circuito lógico.

5. Constatamos como en el circuito anterior que las entradas X & Y tengan valores de O y 1.

Resultados:

1. Con los resultados obtenidos, de las entradas X &; Y y la salida F, construya la tabla de verdad
para ambos casos.

2. Determine la Expresión Lógica para cada caso, basándose en la tabla de verdad y en el circuito
lógico.
80 Ing. Aldrin Flores

Cuestionario:

1. Indique para que es útil el programa Proteus.

2. Qué significa que dos expresiones booleanas sean equivalentes de un ejemplo.

3. De acuerdo a las tablas de funcionamiento de los circuitos armados que puede concluir.

4. Qué aprendió en esta práctica que pueda ser usado más adelajite en el armado de circuitos digitales.
Sotanas D^'taJes 81

12.3. Práctica # 3

ITt 3 . 1 . N o m b r e d e l a práctica: A p l i c a c i o n e s d e l o s c i r c u i t o s c o m b i n a c i o n a l e s

Propósito: Solucionar problemas prácticos usando los conocimientos de circuitos digitales.

1. Sea A3A2A1A0 el equivalente binario de u n número decimal. Diseñar u n circuito que indique con
un I L cuando los valores están entre O y 9 y con OL cuando es mayor a 9.

2. U n a alarma utiliza cuatro sensores 5 i , 52, 53, 54. L a alarma se activa solamente si 5 i esta cerrado
y 52 esta cerrado y 54 esta abierto o si Si esta abierto y 53 esta cerrado y 54 esta cerrado.

Equipo:
Los circuitos integrados que se requieran, laboratorio lógico, p u n t a de prueba lógica y cables de
conexión.

T r a b a j o prepsiratorio:

- Diseñar los circuitos a armar, (diagramas lógicos y eléctricos)

- Simular los circuitos en Proteus (print screen de la simulación)

- Traer los elementos necesarios para armar los circuitos

Realización:

1. Conecte el laboratorio lógico a la fuente de poder, vea que este funcione correctamente.

2. A r m e el circuito No. 1 y compruebe los resultados (presente al instructor)

3. A r m e el circuito No.2 y compruebe los resultados (presente a l instructor)


Sistanas Digitales 83

12.4. Práctica # 4

12.4.1. N o m b r e de la práctica: Displays

Propósito:

1. Comprender el funcionamiento de los displays.

2. Diseñar u n display de 2 bits a 7 segmentos cátodo común utilizando compuertas básicas.

3. Diseñar u n circuito con un decodificador B C D a 7 segmentos (7447) y u n display de 7 segmentos


ánodo común.

4. A r m a r u n circuito para probar un display con decodificador integrado.

Equipo:
Los circuitos integrados que necesite, laboratorio lógico, p u n t a de prueba lógica y cables de conexión.

Trabajo preparatorio:

- Diseñar los circuitos a armar, (diagramas lógicos y eléctricos)

- Simular los circuitos en Proteus (print screen de la simulación)

- Traer los elementos necesarios para armar los circuitos

Realización:

1. Conecte el laboratorio lógico a la fuente de poder, vea que este funcione correctamente.

2. Identificar los terminales de los displays.

3. A r m a r los circuitos del t r a b a j o preparatorio (Presentar al instructor)

Cuestionario:

1. E n que se diferencia u n decodificador binario a 7 segmentos de u n decodificador B C D a 7 segmentos.

2. Qué significa que el display es ánodo común

3. Qué significa que el display es de cátodo común

4. Indique las ventajas y desventajas de tener u n display con el decodificador integrado.


Sñtrnan IXgítales 85

12.5. Práctica # 5

12 5 1. N o m b r e d e la práctica: Circuito sumador

Pkvpááto:

1. Diseñar un circuito sumador para dos números binarios de 3 bits,-representar el resultado en un


display.

2. Diseñar un circuito que permita la resta de 2 números binaxios de 3 bits, y representarlo en el


display.

Equipo:
Circuitos integrados: 7404 (NOT), 7483 (SUMADOR), 7447 (DECODIFICADOR), laboratorio lógico,
punta de prueba lógica, 2 displays de ánodo y cátodo común, 8 resistencias de 330 Q y cables de conexión.

Realización:

1. Conecte el laboratorio lógico a la fuente de poder y vea que este funcione correctamente.

2. Arme el circuito stmiador del trabajo preparatorio.

3. Arme el circuito restador del trabajo prepairatorio.

Resultados:

1. Verifique que la suma y resta de dos números se realice en realice en código BCD par un número
binario de 3 bits.

2. Identifique cuáles son los procesos teóricos que se deben reídizar para la suma y resta binaria y que
procedimientos prácticos se implementarán para estos.

Conclusiones

1. Porque para resultados mayores a 9 obtenemos en el display símbolos no definidos, como se podría
arreglar para no obtener estos símbolos.

2. Qué significa el bit de carry (acarreo) y para que sirve.

3. Dibujar un circuito para sumar dos números de 8 bits.

Diagreonas lógicos de u n decodificador de 2 bits a 7 segmentos

También podría gustarte