Computing">
Nothing Special   »   [go: up one dir, main page]

Librerias VHDL

Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 1

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

USE ieee.std_logic_signed.all;

USE ieee.std_logic_unsigned.all;

LIBRARY std;

Librería que no requiere ser declarada en un diseño. Contiene declaraciones de tipos de datos y
funciones de entrada-salida de texto entre otros.

USE std.standard.all;

USE std.textio.all;

Standard: donde se definen los tipos lógicos y numéricos básicos TEXTIO: Define tipos para la
creación de texto y procedimeintos para el ingreso e impresión de textos.

LIBRARY work;

USE work.all;

Librería que no requiere ser declarada en un diseño. Es donde se salvan todos los archivos
relacionados con el diseño en curso (creados por el compilador, simulador, etc.).

USE ieee.std_logic_1164: Especifica el STD_LOGIC (8 niveles) y el STD_ULOGIC (9 niveles) para


sistemas lógicos multinivel. De todos estos niveles sólo 3 son sintetizables sin restricciones; el
resto sirven para simulación.

USE ieee.std_logic_arith: Especifica tipos de datos con y sin signo, operaciones aritméticas y de
comparación numérica y funciones para conversión de datos.

USE ieee.std_logic_signed: Permite operaciones con signo con datos tipo STD_LOGIC_VECTOR.
USE ieee.std_logic_unsigned: Permite operaciones sin signo con datos tipo STD_LOGIC_VECTOR.

También podría gustarte