Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2561828.2561899acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Methodology for standard cell compliance and detailed placement for triple patterning lithography

Published: 18 November 2013 Publication History

Abstract

As the feature size of semiconductor process further scales to sub-16nm technology node, triple patterning lithography (TPL) has been regarded one of the most promising lithography candidates. M1 and contact layers, which are usually deployed within standard cells, are most critical and complex parts for modern digital designs. Traditional design flow that ignores TPL in early stages may limit the potential to resolve all the TPL conflicts. In this paper, we propose a coherent framework, including standard cell compliance and detailed placement to enable TPL friendly design. Considering TPL constraints during early design stages, such as standard cell compliance, improves the layout decomposability. With the pre-coloring solutions of standard cells, we present a TPL aware detailed placement, where the layout decomposition and placement can be resolved simultaneously. Our experimental results show that, with negligible impact on critical path delay, our framework can resolve the conflicts much more easily, compared with the traditional physical design flow and followed layout decomposition.

References

[1]
ITRS. {Online}. Available: http://www.itrs.net
[2]
B. Yu, J.-R. Gao, D. Ding, Y. Ban, J.-S. Yang, K. Yuan, M. Cho, and D. Z. Pan, "Dealing with IC manufacturability in extreme scaling," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2012, pp. 240--242.
[3]
K. Lucas, C. Cork, B. Yu, G. Luk-Pat, B. Painter, and D. Z. Pan, "Implications of triple patterning for 14 nm node design and patterning," in Proc. of SPIE, vol. 8327, 2012.
[4]
C. Cork, J.-C. Madre, and L. Barnes, "Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns," in Proc. of SPIE, vol. 7028, 2008.
[5]
R. S. Ghaida, K. B. Agarwal, L. W. Liebmann, S. R. Nassif, and P. Gupta, "A novel methodology for triple/multiple-patterning layout decomposition," in Proc. of SPIE, vol. 8327, 2011.
[6]
B. Yu, K. Yuan, B. Zhang, D. Ding, and D. Z. Pan, "Layout decomposition for triple patterning lithography," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011, pp. 1--8.
[7]
S.-Y. Fang, W.-Y. Chen, and Y.-W. Chang, "A novel layout decomposition algorithm for triple patterning lithography," in IEEE/ACM Design Automation Conference (DAC), 2012.
[8]
H. Tian, H. Zhang, Q. Ma, Z. Xiao, and M. Wong, "A polynomial time triple patterning algorithm for cell based row-structure layout," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2012.
[9]
B. Yu, J.-R. Gao, and D. Z. Pan, "Triple patterning lithography (TPL) layout decomposition using end-cutting," in Proc. of SPIE, vol. 8684, 2013.
[10]
J. Kuang and E. F. Young, "An efficient layout decomposition approach for triple patterning lithography," in IEEE/ACM Design Automation Conference (DAC), 2013.
[11]
B. Yu, Y.-H. Lin, G. Luk-Pat, D. Ding, K. Lucas, and D. Z. Pan, "A high-performance triple patterning layout decomposer with balanced density," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013.
[12]
L. Liebmann, D. Pietromonaco, and M. Graf, "Decomposition-aware standard cell design flows to enable double-patterning technology," in Proc. of SPIE, vol. 7974, 2011.
[13]
S. Hu and J. Hu, "Pattern sensitive placement for manufacturability," in ACM International Symposium on Physical Design (ISPD), 2007, pp. 27--34.
[14]
M. Gupta, K. Jeong, and A. B. Kahng, "Timing yield-aware color reassignment and detailed placement perturbation for double patterning lithography," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2009, pp. 607--614.
[15]
J.-R. Gao, B. Yu, R. Huang, and D. Z. Pan, "Self-aligned double patterning friendly configuration for standard cell library considering placement," in SPIE Intl. Symp. Advanced Lithography, 2013.
[16]
Q. Ma, H. Zhang, and M. D. F. Wong, "Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology," in IEEE/ACM Design Automation Conference (DAC), 2012, pp. 591--596.
[17]
Y.-H. Lin, B. Yu, D. Z. Pan, and Y.-L. Li, "TRIAD: A triple patterning lithography aware detailed router," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2012.
[18]
"NanGate FreePDK45 Generic Open Cell Library," http://www.si2.org/openeda.si2.org/projects/nangatelib.
[19]
"Mentor Calibre," http://www.mentor.com.
[20]
"Predictive Technology Model ver. 2.1," http://ptm.asu.edu.
[21]
T. C. Hu and M.-T. Shing, Combinatorial Algorithms: Enlarged Second Edition. Courier Dover Publications, 2002.
[22]
A. B. Kahng, P. Tucker, and A. Zelikovsky, "Optimization of linear placements for wirelength minimization with free sites," in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 1999, pp. 241--244.
[23]
U. Brenner and J. Vygen, "Faster optimal single-row placement with fixed ordering," in Proc. Design, Automation and Test in Eurpoe, 2000, pp. 117--121.
[24]
A. B. Kahng, S. Reda, and Q. Wang, "Architecture and details of a high quality, large-scale analytical placer," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2005, pp. 891--898.
[25]
S. Goto, "An efficient algorithm for the two-dimensional placement problem in electrical circuit layout," IEEE Trans. on Circuits and Systems, vol. 28, no. 1, pp. 12--18, 1981.
[26]
"Synopsys Design Compiler," http://www.synopsys.com.
[27]
"Cadence SOC Encounter," http://www.cadence.com/.

Cited By

View all
  • (2020)Via Pillar-aware Detailed PlacementProceedings of the 2020 International Symposium on Physical Design10.1145/3372780.3375561(17-24)Online publication date: 30-Mar-2020
  • (2017)Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm NodesProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062338(1-6)Online publication date: 18-Jun-2017
  • (2015)Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line ConflictProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840875(396-403)Online publication date: 2-Nov-2015
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '13: Proceedings of the International Conference on Computer-Aided Design
November 2013
871 pages
ISBN:9781479910694
  • General Chair:
  • Jörg Henkel

Sponsors

Publisher

IEEE Press

Publication History

Published: 18 November 2013

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD'13
Sponsor:
ICCAD'13: The International Conference on Computer-Aided Design
November 18 - 21, 2013
California, San Jose

Acceptance Rates

ICCAD '13 Paper Acceptance Rate 92 of 354 submissions, 26%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2020)Via Pillar-aware Detailed PlacementProceedings of the 2020 International Symposium on Physical Design10.1145/3372780.3375561(17-24)Online publication date: 30-Mar-2020
  • (2017)Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm NodesProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062338(1-6)Online publication date: 18-Jun-2017
  • (2015)Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line ConflictProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840875(396-403)Online publication date: 2-Nov-2015
  • (2015)Pushing multiple patterning in sub-10nmProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2747940(1-6)Online publication date: 7-Jun-2015
  • (2015)TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring ConstraintsProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717770(75-80)Online publication date: 29-Mar-2015
  • (2015)A Cell-Based Row-Structure Layout Decomposer for Triple Patterning LithographyProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717768(67-74)Online publication date: 29-Mar-2015
  • (2014)Triple patterning aware detailed placement with constrained pattern assignmentProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691392(116-123)Online publication date: 3-Nov-2014
  • (2014)Triple patterning lithography aware optimization for standard cell based designProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691391(108-115)Online publication date: 3-Nov-2014
  • (2014)Layout Decomposition for Quadruple Patterning Lithography and BeyondProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593152(1-6)Online publication date: 1-Jun-2014
  • (2013)A high-performance triple patterning layout decomposer with balanced densityProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561863(163-169)Online publication date: 18-Nov-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media