Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3316781.3317754acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Accelerating FPGA Prototyping through Predictive Model-Based HLS Design Space Exploration

Published: 02 June 2019 Publication History

Abstract

One of the advantages of High-Level Synthesis (HLS), also called C-based VLSI-design, over traditional RT-level VLSI design flows, is that multiple micro-architectures of unique area vs. performance can be automatically generated by setting different synthesis options, typically in the form of synthesis directives specified as pragmas in the source code. This design space exploration (DSE) is very time-consuming and can easily take multiple days for complex designs. At the same time, and because of the complexity in designing large ASICs, verification teams now routinely make use of emulation and prototyping to test the circuit before the silicon is taped out. This also allows the embedded software designers to start their work earlier in the design process and thus, further reducing the Turn-Around-Times (TAT). In this work, we present a method to automatically re-optimize ASIC designs specified as behavioral descriptions for HLS to FPGAs for emulation and prototyping, based on the observation that synthesis directives that lead to efficient micro-architectures for ASICs, do not directly translate into optimal micro-architectures in FPGAs. This implies that the HLS DSE process would have to be completely repeated for the target FPGA. To avoid this, this work presents a predictive model-based method that takes as inputs the results of an ASIC HLS DSE and automatically, without the need to re-explore the behavioral description, finds the Pareto-optimal micro-architectures for the target FPGA. Experimental results comparing our predictive-model based method vs. completely re-exploring the search space show that our proposed method works well.

References

[1]
Candence. 2018. Stratus. Retrieved September 10, 2018 from https://www.cadence.com/content/cadence-www/global/en_US/home/tools/digital-design-and-signoff/synthesis/stratus-high-level-synthesis.html
[2]
T. Chen, Q. Guo, K. Tang, O. Temam, Z. Xu, Z. H. Zhou, and Y. Chen. 2014. ArchRanker: A ranking approach to design space exploration. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 85--96.
[3]
S. Dai, Yuan Zhou, Hang Zhang, Ecenur Ustun, Evangeline F. Y. Young, and Zhiru Zhang. 2018. Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning.
[4]
Agoston E Eiben, P-E Raue, and Zs Ruttkay. 1994. Genetic Algorithms with Multi-parent Recombination. In International Conference on Parallel Problem Solving from Nature.
[5]
M. Holzer et al. 2007. Design Space Exploration with Evolutionary Multi-Objective Optimisation. In 2007 International Symposium on Industrial Embedded Systems. 126--133.
[6]
Intel. 2018. HLS compiler. Retrieved September 10, 2018 from https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/hls-compiler.html
[7]
Dong Liu and Benjamin Carrion Schafer. 2016. Efficient and reliable High-Level Synthesis Design Space Explorer for FPGAs. In 2016 26th International Conference on Field Programmable Logic and Applications (FPL). 1--8.
[8]
Hung-Yi Liu and L. P. Carloni. 2013. On learning-based methods for design-space exploration with High-Level Synthesis. In 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC). 1--7.
[9]
P. Meng, A. Althoff, Q. Gautier, and R. Kastner. 2016. Adaptive Threshold Non-Pareto Elimination: Re-thinking machine learning for system level design space exploration on FPGAs. In 2016 Design, Automation Test in Europe Conference Exhibition (DATE). 918--923.
[10]
Mentor. 2018. Catapult. Retrieved September 10, 2018 from https://www.mentor.com/hls-lp/catapult-high-level-synthesis/
[11]
NEC. 2018. CyberWorkBench. Retrieved September 10, 2018 from https://www.cyberworkbench.com
[12]
D. Nenni and D. Dingee. 2016. Prototypical: The Emergence of FPGA-Based Prototyping for SoC Design. S2C Inc.
[13]
F. Pedregosa, G. Varoquaux, A. Gramfort, V. Michel, B. Thirion, O. Grisel, M. Blondel, P. Prettenhofer, R. Weiss, V. Dubourg, J. Vanderplas, A. Passos, D. Cournapeau, M. Brucher, M. Perrot, and E. Duchesnay. 2011. Scikit-learn: Machine Learning in Python. Journal of Machine Learning Research 12 (2011), 2825--2830.
[14]
B. Carrion Schafer and A. Mahapatra. 2014. S2CBench: Synthesizable SystemC Benchmark Suite for High-Level Synthesis. IEEE Embedded Systems Letters 6, 3 (Sept 2014), 53--56.
[15]
Xilinx. 2018. Vivado HLS. Retrieved September 10, 2018 from https://www.xilinx.com/products/design-tools/vivado/integration/esl-design.html
[16]
Kai Yu, Jinbo Bi, and Volker Tresp. 2006. Active Learning via Transductive Experimental Design. In Proceedings of the 23rd International Conference on Machine Learning (ICML '06). 8.
[17]
G. Zhong, A. Prakash, Y. Liang, T. Mitra, and S. Niar. 2016. Lin-Analyzer: A high-level performance analysis tool for FPGA-based accelerators. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.

Cited By

View all
  • (2024)Statistical Hardware Design With Multimodel Active LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332098443:2(562-572)Online publication date: Feb-2024
  • (2024)Neural Networks Implementations on FPGA for Biomedical Applications: A ReviewSN Computer Science10.1007/s42979-024-03381-45:8Online publication date: 30-Oct-2024
  • (2023)BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space ExplorationACM Transactions on Design Automation of Electronic Systems10.1145/363001329:1(1-23)Online publication date: 18-Dec-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
June 2019
1378 pages
ISBN:9781450367257
DOI:10.1145/3316781
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 June 2019

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Design Space Exploration
  2. FPGA prototyping
  3. Hardware Acceleration
  4. High-Level Synthesis
  5. Predictive Modelling

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

DAC '19
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)92
  • Downloads (Last 6 weeks)10
Reflects downloads up to 18 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Statistical Hardware Design With Multimodel Active LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332098443:2(562-572)Online publication date: Feb-2024
  • (2024)Neural Networks Implementations on FPGA for Biomedical Applications: A ReviewSN Computer Science10.1007/s42979-024-03381-45:8Online publication date: 30-Oct-2024
  • (2023)BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space ExplorationACM Transactions on Design Automation of Electronic Systems10.1145/363001329:1(1-23)Online publication date: 18-Dec-2023
  • (2023)Microarchitecture Design Space Exploration via Pareto-Driven Active LearningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.331162031:11(1727-1739)Online publication date: Nov-2023
  • (2023)FlowTune: End-to-End Automatic Logic Optimization Exploration via Domain-Specific Multiarmed BanditIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321361142:6(1912-1925)Online publication date: Jun-2023
  • (2023)Application of Machine Learning in FPGA EDA Tool DevelopmentIEEE Access10.1109/ACCESS.2023.332235811(109564-109580)Online publication date: 2023
  • (2023)Hardware acceleration of complex HEP algorithms with HLS and FPGAs: methodology and preliminary implementationComputer Physics Communications10.1016/j.cpc.2023.108997(108997)Online publication date: Oct-2023
  • (2023)Rapid Prototyping of Complex Micro-architectures Through High-Level SynthesisApplied Reconfigurable Computing. Architectures, Tools, and Applications10.1007/978-3-031-42921-7_2(19-34)Online publication date: 16-Sep-2023
  • (2022)A Soft Coprocessor Approach for Developing Image and Video Processing Applications on FPGAsJournal of Imaging10.3390/jimaging80200428:2(42)Online publication date: 11-Feb-2022
  • (2022)A Review of Spatial Exploration of FPGA DesignComputer Science and Application10.12677/CSA.2022.12410712:04(1043-1053)Online publication date: 2022
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media