Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3218603.3218645acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support

Published: 23 July 2018 Publication History

Abstract

We present a novel 3D-SRAM cell using a Monolithic 3D integration (M3D-IC) technology for realizing both robustness and In-memory Boolean logic compute support. The proposed two-layer design makes use of additional transistors over the SRAM layer to enable assist techniques as well as provide logic functions (such as AND/NAND, OR/NOR, XNOR/XOR) without degrading cell density. Through analysis, we provide insights into the benefits provided by three memory assist and two logic modes and evaluate the energy efficiency of our proposed design. Assist techniques improve SRAM read stability by 2.2x and increase the write margin by 17.6%, while staying within the SRAM footprint. By virtue of increased robustness, the cell enables seamless operation at lower supply voltages and thereby ensures energy efficiency. Energy Delay Product (EDP) reduces by 1.6x over standard 6T SRAM with a faster data access. Transistor placement and their biasing technique in layer-2 enables In-memory bitwise Boolean computation. When computing bulk In-memory operations, 6.5x energy savings is achieved as compared to computing outside the memory system.

References

[1]
David Patterson et al., 1997. A Case for Intelligent RAM. IEEE Micro. (Mar. 1997), 34--44.
[2]
Yuan Chou et al., 2004. Microarchitecture Optimizations for Exploiting Memory-Level Parallelism. ISCA. (Jun. 2004)
[3]
S. Keckler. Life after Dennard and how I learned to love the picojoule (keynote speech). MICRO-44
[4]
H.S. Stone. 1970. A Logic-in-Memory Computer. IEEE Trans. Computers. (Jan. 1970), 73--78.
[5]
D. Elliott et al., Computational RAM: Implementing processors in memory. IEEE Design and Test of Computers.
[6]
Jeff Draper et al., The architecture of the DIVA processing-in-memory chip. Proceedings of the 16th ICS'02.
[7]
D. U. Lee et al., 2014. A 1.2V 8Gb 8-channel 128GB/s high bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV. Proc. ISSCC.
[8]
J. Jeddeloh and B. Keeth. 2012. Hybrid memory cube new DRAM architecture increases density and performance. VISIT.
[9]
S. Aga et al., 2017. Compute caches. HPCA. (Feb. 2017)
[10]
V. Khwa et al., 2018. A 65nm 4Kb Algorithm-Dependent Computing-in-Memory SRAM Unit-Macro with 2.3ns and 55.8 TOPS/W Fully Parallel Product-Sum Operation for Binary DNN Edge Processors. ISSCC. (Feb. 2018)
[11]
P. Batude et al., 2011. IEDM.
[12]
F.-K. Hsueh et al., 2017. TSV-free FinFet-based Monolithic 3D+-IC with Computing-in-Memory SRAM Cell for Intelligent IoT Devices. IEDM.
[13]
H. H. Nho et al., 2008. A high-speed, low-power 3D-SRAM architecture. IEEE CICC. (Sep. 2008), 201--204.
[14]
Joonho Kong et al., 2017. Architecting Large-Scale SRAM Arrays with Monolithic 3D Integration. ISLPED.
[15]
C. Liu and S. K. Lim. 2012. Ultra-High Density 3D SRAM Cell Designs for Monolithic 3D Integration. IITC.
[16]
M. Brocard et al., 2016. High density SRAM bitcell architecture in 3D sequential CoolCube™ 14nm technology.
[17]
A. Agrawal et al., 2017. X-Sram. arXiv:1712.05096.
[18]
Srivatsa Srinivasa et al., 2018 Compact 3D-SRAM Memory with Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3D integration. TVLSI.
[19]
Predictive Technology Model. Available: http://ptm.asu.edu/
[20]
Zheng Guo et al., 2018. A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications. ISSCC.
[21]
P. Shivakumar and N. P. Jouppi. Cacti 3.0: An integrated cache timing, power and area model. August 2001.

Cited By

View all
  • (2022)Aggressive GPU cache bypassing with monolithic 3D-based NoCThe Journal of Supercomputing10.1007/s11227-022-04878-679:5(5421-5442)Online publication date: 21-Oct-2022
  • (2021)A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube ProcessACM Journal on Emerging Technologies in Computing Systems10.1145/346668118:1(1-20)Online publication date: 3-Nov-2021
  • (2021)Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 CachesIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.28949829:2(854-865)Online publication date: 1-Apr-2021
  • Show More Cited By

Index Terms

  1. A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '18: Proceedings of the International Symposium on Low Power Electronics and Design
    July 2018
    327 pages
    ISBN:9781450357043
    DOI:10.1145/3218603
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 23 July 2018

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3D-SRAM
    2. In-memory compute
    3. Monolithic 3D integration

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Conference

    ISLPED '18
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)66
    • Downloads (Last 6 weeks)10
    Reflects downloads up to 13 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Aggressive GPU cache bypassing with monolithic 3D-based NoCThe Journal of Supercomputing10.1007/s11227-022-04878-679:5(5421-5442)Online publication date: 21-Oct-2022
    • (2021)A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube ProcessACM Journal on Emerging Technologies in Computing Systems10.1145/346668118:1(1-20)Online publication date: 3-Nov-2021
    • (2021)Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 CachesIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.28949829:2(854-865)Online publication date: 1-Apr-2021
    • (2021)Ascend: a Scalable and Unified Architecture for Ubiquitous Deep Neural Network Computing : Industry Track Paper2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA51647.2021.00071(789-801)Online publication date: Feb-2021
    • (2021)A survey of SRAM-based in-memory computing techniques and applicationsJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2021.102276119:COnline publication date: 1-Oct-2021
    • (2021)Multifunctional computing-in-memory SRAM cells based on two-surface-channel MoS2 transistorsiScience10.1016/j.isci.2021.10313824:10(103138)Online publication date: Oct-2021
    • (2020)BLADE: An in-Cache Computing Architecture for Edge DevicesIEEE Transactions on Computers10.1109/TC.2020.297252869:9(1349-1363)Online publication date: 1-Sep-2020
    • (2019)MagmaProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317858(1-6)Online publication date: 2-Jun-2019
    • (2019)Designing vertical processors in monolithic 3DProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322233(643-656)Online publication date: 22-Jun-2019
    • (2019)Technology-Assisted Computing-In-Memory Design for Matrix Multiplication Workloads2019 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH)10.1109/NANOARCH47378.2019.181303(1-6)Online publication date: Jul-2019
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media