Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3078633.3081038acmconferencesArticle/Chapter ViewAbstractPublication PagescpsweekConference Proceedingsconference-collections
research-article

A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting

Published: 21 June 2017 Publication History

Abstract

Energy harvesting techniques become increasingly popular as power supplies for embedded systems. However, the harvested energy is intrinsically unstable. Thus, the program execution may be interrupted frequently. Although the development of non-volatile processors (NVP) can save and restore execution states, both hardware and software challenges exist for energy harvesting powered embedded systems. On the hardware side, existing power detector only signals the ``poor'' quality of the harvested power based on a preset threshold voltage. The inappropriate setting of this threshold will make the NVP based embedded system suffer from either unnecessary checkpointing or checkpointing failures. On the software side, not all tasks can be checkpointed. Once the power is off, these tasks will have to restart from the beginning. In this paper, a task scheduler is proposed to maximize task progress by prioritizing tasks which cannot be checkpointed when power is weak so that they can finish before the power outage. To assist task scheduling, three additional modules including voltage monitor, checkpointing handler, and routine handler, are proposed. Experimental results show increased overall task progress and reduced energy consumption.

References

[1]
Q. A. Khan and S. J. Bang. Energy harvesting for self powered wearable health monitoring system. Health, pages 1–5, 2009.
[2]
J. Kymissis, C. Kendall, J. Paradiso, and N. Gershenfeld. Parasitic power harvesting in shoes. ISWC’98, pages 132–139, 1998.
[3]
V. Leonov. Thermoelectric Energy Harvesting of Human Body Heat for Wearable Sensors. IEEE Sensors Journal, (6):2284–2291, 2013.
[4]
H. Li, Y. Liu, C. Fu, C. J. Xue, D. Xiang, J. Yue, J. Li, D. Zhang, J. Hu, and H. Yang. Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead. In Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, pages 1–6. IEEE, 2016.
[5]
H. Nakamoto, D. Yamazaki, et al. A passive uhf rf identification cmos tag ic using ferroelectric ram in 0.35um technology. IEEE Journal of Solid-State Circuits, 42(1):101–110, 2007.
[6]
C. Pan, M. Xie, J. Hu, Y. Chen, and C. Yang. 3m-pcm: exploiting multiple write modes mlc phase change main memory in embedded systems. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, page 33. ACM, 2014.
[7]
C. Pan, S. Gu, M. Xie, Y. Liu, C. J. Xue, and J. Hu. Wear-leveling aware page management for non-volatile main memory on embedded systems. IEEE Transactions on Multi-Scale Computing Systems, 2(2): 129–142, 2016.
[8]
C. Park and P. H. Chou. Ambimax: Autonomous energy harvesting platform for multi-supply wireless sensor nodes. In SECON’06., pages 168–177, 2006.
[9]
B. Ransford, S. S. Clark, M. Salajegheh, and K. Fu. Getting things done on computational rfids with energy-aware checkpointing and voltage-aware scheduling. In HotPower’08, pages 5–5, 2008.
[10]
B. Ransford, J. Sorber, and K. Fu. Mementos: system support for longrunning computation on rfid-scale devices. ACM SIGPLAN Notices, 47(4):159–170, 2012.
[11]
T. Starner. Human-powered wearable computing. IBM systems Journal, 35(3.4):618–629, 1996.
[12]
J. Taneja, J. Jeong, and D. Culler. Design, modeling, and capacity planning for micro-solar power sensor networks. In IPSN’08, pages 407–418, 2008.
[13]
Y. Wang, Y. Liu, et al. A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops. In Proceedings of the ESSCIRC, pages 149– 152, 2012.
[14]
M. Xie, C. Pan, J. Hu, C. J. Xue, and Q. Zhuge. Non-volatile registers aware instruction selection for embedded systems. In 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, pages 1–9, 2014.
[15]
M. Xie, C. Pan, J. Hu, C. Yang, and Y. Chen. Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units. In The 20th Asia and South Pacific Design Automation Conference, pages 316–321, 2015.
[16]
M. Xie, M. Zhao, C. Pan, J. Hu, Y. Liu, and C. J. Xue. Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor. In Proceedings of the 52Nd Annual Design Automation Conference, DAC ’15, pages 184:1– 184:6, 2015.
[17]
W.-k. Yu, S. Rajwade, S.-E. Wang, B. Lian, G. E. Suh, and E. Kan. A non-volatile microcontroller with integrated floating-gate transistors. In Dependable Systems and Networks Workshops (DSN-W), 2011 IEEE/IFIP 41st International Conference on, pages 75–80. IEEE, 2011.
[18]
D. Zhang, Y. Liu, X. Sheng, J. Li, T. Wu, C. J. Xue, and H. Yang. Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration. In Design Automation Conference (DAC), 2015 52nd ACM/EDAC/IEEE, pages 1–6. IEEE, 2015.
[19]
M. Zhao, Q. Li, M. Xie, Y. Liu, J. Hu, and C. J. Xue. Software assisted non-volatile register reduction for energy harvesting based cyberphysical system. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE ’15, pages 567–572, 2015. ISBN 978-3-9815370-4-8.
[20]
M. Zwerg, A. Baumann, R. Kuhn, M. Arnold, R. Nerlich, M. Herzog, R. Ledwa, C. Sichert, V. Rzehak, P. Thanigai, et al. An 82µa/mhz microcontroller with embedded feram for energy-harvesting applications. In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International, pages 334–336. IEEE, 2011.

Cited By

View all
  • (2023)Voltage-Controlled Spin-Orbit-Torque-Based Nonvolatile Flip-Flop Designs for Ultra-Low-Power ApplicationsApplied Sciences10.3390/app13201131613:20(11316)Online publication date: 15-Oct-2023
  • (2020)Low Overhead Online Data Flow Tracking for Intermittently Powered Non-Volatile FPGAsACM Journal on Emerging Technologies in Computing Systems10.1145/337139216:3(1-20)Online publication date: 1-Jul-2020
  • (2020)Enabling Failure-Resilient Intermittent Systems Without Runtime CheckpointingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.297707839:12(4399-4412)Online publication date: Dec-2020
  • Show More Cited By

Index Terms

  1. A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    LCTES 2017: Proceedings of the 18th ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems
    June 2017
    120 pages
    ISBN:9781450350303
    DOI:10.1145/3078633
    • General Chair:
    • Vijay Nagarajan,
    • Program Chair:
    • Zili Shao
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 21 June 2017

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Energy Harvesting
    2. Non-volatile Memory
    3. Non-volatile Processor
    4. Progress Maximization
    5. Task Scheduling

    Qualifiers

    • Research-article

    Conference

    LCTES '17

    Acceptance Rates

    Overall Acceptance Rate 116 of 438 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)11
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 22 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Voltage-Controlled Spin-Orbit-Torque-Based Nonvolatile Flip-Flop Designs for Ultra-Low-Power ApplicationsApplied Sciences10.3390/app13201131613:20(11316)Online publication date: 15-Oct-2023
    • (2020)Low Overhead Online Data Flow Tracking for Intermittently Powered Non-Volatile FPGAsACM Journal on Emerging Technologies in Computing Systems10.1145/337139216:3(1-20)Online publication date: 1-Jul-2020
    • (2020)Enabling Failure-Resilient Intermittent Systems Without Runtime CheckpointingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.297707839:12(4399-4412)Online publication date: Dec-2020
    • (2019)Modeling and Optimization for Self-powered Non-volatile IoT Edge Devices with Ultra-low Harvesting PowerACM Transactions on Cyber-Physical Systems10.1145/33246093:3(1-26)Online publication date: 20-Aug-2019
    • (2019)Enabling Failure-resilient Intermittently-powered Systems Without Runtime CheckpointingProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317816(1-6)Online publication date: 2-Jun-2019
    • (2018)On the Design of Reliable Heterogeneous Systems via Checkpoint Placement and Core AssignmentProceedings of the 2018 Great Lakes Symposium on VLSI10.1145/3194554.3194642(475-478)Online publication date: 30-May-2018
    • (2018)Low Overhead Online Checkpoint for Intermittently Powered Non-volatile FPGAs2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2018.00052(238-244)Online publication date: Jul-2018
    • (2024)MARS: MAximizing throughput for MPPT-based self-sustaining LoRa SystemsProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658722(105-110)Online publication date: 12-Jun-2024
    • (2024)Intelligent Networking for Energy Harvesting Powered IoT SystemsACM Transactions on Sensor Networks10.1145/363876520:2(1-31)Online publication date: 16-Feb-2024
    • (2023)Non-Stop Microprocessor for Fault-Tolerant Real-Time SystemsIEICE Transactions on Electronics10.1587/transele.2022CDP0005E106.C:7(365-381)Online publication date: 1-Jul-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media