Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2897937.2898090acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Shift sprinting: fine-grained temperature-aware NoC-based MCSoC architecture in dark silicon age

Published: 05 June 2016 Publication History

Abstract

Reliability is a critical feature of chip integration and unreliability can lead to performance, cost, and time-to-market penalties. Moreover, upcoming Many-Core System-on-Chips (MCSoCs), notably future generations of mobile devices, will suffer from high power densities due to the dark silicon problem. Thus, in this paper, a novel NoC-based MCSoC architecture, called Shift Sprinting, is introduced in order to reliably utilize dark silicon under the power budget constraint. By employing the concept of distributional sprinting, our proposed architecture provides Quality of Service (QoS) to efficiently run real-time streaming applications in mobile devices. Simulation results show meaningful gain in performance and reliability of the system compared to state-of-the-art works.

References

[1]
ITRS. International Technology Roadmap for Semiconductors, 2013 edition.
[2]
R. H. Dennard, F. H. Gaensslen, H. N. Yu, V. L. Rideout, E. Bassous, and A. R. Leblanc, "Design of ion-implanted MOSFET's with very small physical dimensions," In IEEE Journal of Solid-State Circuits, Vol. 9, pp. 256--268, 1974.
[3]
N. Goulding-Hotta, J. Sampson, Q. Zheng, V. Bhatt, J. Auricchio, S. Swanson, and M. B. Taylor, "GreenDroid: an architecture for the dark silicon age," In Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 100--105, 2012.
[4]
M. B. Taylor, "Is dark silicon useful? harnessing the four horsemen of the coming dark silicon apocalypse," In ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1131--1136, 2012.
[5]
J. Henkel, H. Khdr, S. Pagani, and M. Shafique, "New trends in dark silicon," In ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1--6, 2015.
[6]
A. Raghavan, Y. Luo, A. Chandawalla, M. Papaefthymiou, K. P. Pipe, T. F. Wenisch, and M. M. K. Martin, "Computational sprinting," In IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 1--12, 2012.
[7]
J. Zhan, Y. Xie, and G. Sun,"NoC-sprinting: interconnect for fine-grained sprinting in the dark silicon era," In ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1--6, 2014.
[8]
W. Godycki, C. Torng, I. Bukreyev, A. Apsel, and C. Batten, C, "Enabling realistic fine-grain voltage scaling with reconfigurable power distribution networks," In IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 381--393, 2014.
[9]
Chetan Sharma Consulting. {Online}. Availble: http://chetansharma.com/usmarketupdateq22015.htm
[10]
A. Rezaei, M. Daneshtalab, D. Zhao, F. Safaei, X. Wang, and M. Ebrahimi, "Dynamic application mapping algorithm for wireless network-on-chip," In Euromicro International Conference on Parallel, Distributed and Network-Based Computing (PDP), pp. 421--424, 2015.
[11]
B. Goodarzi and H. Sarbazi-Azad, "Task migration in mesh NoCs over virtual point-to-point connections," In Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), pp. 463--469, 2011.
[12]
F. Fu, S. Sun, X. Hu, J. Song, J. Wang, and M. Yu,"MMPI: a flexible and efficient multiprocessor message passing interface for NoC-based MPSoC," In IEEE International SoC Conference (SoCC), pp. 359--362, 2010.
[13]
"Noxim: network-on-chip simulator," {Online}. Available: http://www.noxim.org/.
[14]
L. Wang and K. Skadron "Dark vs. dim silicon and near-threshold computing extended results," Technical Report (UVA-CS-2013-01), Department of Computer Science, University of Virginia, 2013.
[15]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan, "HotSpot: a compact thermal modeling methodology for early-stage VLSI design," In IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol. 14, Issue 5, pp. 501--513, 2006.
[16]
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: characterization and architectural implications," In International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 72--81, 2008.

Cited By

View all
  • (2023)3D-DNaPE: Dynamic Neighbor-Aware Performance Enhancement for Thermally Constrained 3D Many-Core SystemsIEEE Access10.1109/ACCESS.2023.333628011(131964-131978)Online publication date: 2023
  • (2022)Performance Optimization of Many-Core Systems by Exploiting Task Migration and Dark Core AllocationIEEE Transactions on Computers10.1109/TC.2020.304266371:1(92-106)Online publication date: 1-Jan-2022
  • (2021)Optimal Sprinting Pattern in Thermal Constrained CMPsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.28908679:1(484-495)Online publication date: 1-Jan-2021
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Other conferences
DAC '16: Proceedings of the 53rd Annual Design Automation Conference
June 2016
1048 pages
ISBN:9781450342360
DOI:10.1145/2897937
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 05 June 2016

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. MCSoC
  2. NoC
  3. dark silicon
  4. reliability
  5. sprinting
  6. temperature

Qualifiers

  • Research-article

Conference

DAC '16

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 05 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2023)3D-DNaPE: Dynamic Neighbor-Aware Performance Enhancement for Thermally Constrained 3D Many-Core SystemsIEEE Access10.1109/ACCESS.2023.333628011(131964-131978)Online publication date: 2023
  • (2022)Performance Optimization of Many-Core Systems by Exploiting Task Migration and Dark Core AllocationIEEE Transactions on Computers10.1109/TC.2020.304266371:1(92-106)Online publication date: 1-Jan-2022
  • (2021)Optimal Sprinting Pattern in Thermal Constrained CMPsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.28908679:1(484-495)Online publication date: 1-Jan-2021
  • (2021)PEW: Prediction-Based Early Dark Cores Wake-up Using Online Ridge Regression for Many-Core SystemsIEEE Access10.1109/ACCESS.2021.31097179(124087-124099)Online publication date: 2021
  • (2020)DTaPO: Dynamic Thermal-Aware Performance Optimization for Dark Silicon Many-Core SystemsElectronics10.3390/electronics91119809:11(1980)Online publication date: 23-Nov-2020
  • (2019)Redeeming chip-level power efficiency by collaborative management of the computation and communicationProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287647(376-381)Online publication date: 21-Jan-2019
  • (2019)SprintCon: Controllable and Efficient Computational Sprinting for Data Center Servers2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS.2019.00090(815-824)Online publication date: May-2019
  • (2019)Temperature-Aware Task Scheduling for Dark Silicon Many-Core System-on-Chip2019 8th International Conference on Modeling Simulation and Applied Optimization (ICMSAO)10.1109/ICMSAO.2019.8880300(1-5)Online publication date: Apr-2019
  • (2018)Multiobjectivism in Dark Silicon AgeDark Silicon and Future On-chip Systems10.1016/bs.adcom.2018.03.012(83-126)Online publication date: 2018
  • (2017)Efficient Mapping of Applications for Future Chip-Multiprocessors in Dark Silicon EraACM Transactions on Design Automation of Electronic Systems10.1145/305520222:4(1-26)Online publication date: 15-Jun-2017
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media