Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1146909.1147171acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Clock buffer and wire sizing using sequential programming

Published: 24 July 2006 Publication History

Abstract

This paper investigates methods for clock skew minimization using buffer and wire sizing. First, a technique that significantly improves solution quality and stability of sequential programming-based buffer/wire sizing is used. Then, a new formulation of clock skew minimization that uses quadratic programming and considers sub-critical skews in addition to the most critical skews is presented. The quality of results are verified to be more robust using Monte Carlo simulations to account for process sensitivity. For the same power budget, the sequential quadratic programming (SQP) method has better expected skew, standard deviation, and overall CPU time on average.

References

[1]
A. Agarwal, D. Blaauw, and V. Zolotov. Statistical clock skew analysis considering intra-die process variations. In ICCAD, pages 914--920, 2003.
[2]
C. Albrecht, A. B. Kahng, B. Liu, I. Mandoiu, and A. Zelikovsky. On the skew-bounded minimum buffer routing tree problem. In SASIMI, pages 250--256, 2001.
[3]
X. Bai, C. Visweswariah, P. N. Strenski, and D. J. Hathaway. Uncertainty-aware circuit optimization. In DAC, pages 58--63, 2002.
[4]
K. Boese and A. Kahng. Zero-skew clock routing trees with minimum wirelength. In ASIC Conf., pages 1.1.1--1.1.5, 1992.
[5]
Y. Cao, P. Gupta, A. B. Kahng, D. Sylvester, and J. Yang. Design sensitivities to variability: Extrapolations and assessments in nanometer VLSI. In ASIC/SOC, pages 411--415, September 2002.
[6]
T.-H. Chao, Y.-C. Hsu, and J. Ho. Zero skew clock net routing. In DAC, pages 518--523, 1992.
[7]
C.-P. Chen, Y.-P. Chen, and D. F. Wong. Optimal wire-sizing formula under the elmore delay model. In DAC, pages 487--490, 1996.
[8]
Computational infrastructure for operations research. http://www.coin-or.org.
[9]
J. P. Fishburn. Clock skew optimization. IEEE Trans. on Computers, 39(7):945--951, July 1990.
[10]
M. Hashimoto, T. Yamamoto, and H. Onodera. Statistical analysis of clock skew variation in H-tree structure. In ISQED, 2005.
[11]
International technology roadmap on semiconductors. http://public.itrs.net, 2004.
[12]
R. Kay and L. T. Pileggi. EWA: Efficient wiring-sizing algorithm for signal nets and clock nets. IEEE Trans. on CAD, 17(1):40--49, January 1998.
[13]
I. S. Kourtev and E. G. Friedman. A quadratic programming approach to clock skew scheduling for reduced sensitivity to process parameter variations. In ASIC/SOC, pages 210--215, 1999.
[14]
I.-M. Liu, T.-L. Chou, A. Aziz, and D. F. Wong. Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. In ISPD, pages 33--38, 2000.
[15]
B. Lu, J. Hu, G. Ellis, and H. Su. Process variation aware clock tree routing. In ISPD, pages 174--181, 2003.
[16]
J. L. Neves and E. G. Friedman. Optimal clock skew scheduling tolerant to process variations. In Proceedings of the ACM/IEEE Design Automation Conference, pages 623--629, 1996.
[17]
J. Nocedal and S. J. Wright. Numerical Optimization. Springer, 1999.
[18]
S. Pullela, N. Menezes, and L. T. Pillage. Reliable non-zero skew clock trees using wire width optimization. In DAC, pages 165--170, 1993.
[19]
G. E. Tellez and M. Sarrafzadeh. Minimal buffer insertion in clock trees with skew and slew rate constraints. IEEE Trans. on CAD, 16(4):333--342, 1997.
[20]
J.-L. Tsai, T.-H. Chen, and C. C. Chen. Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. IEEE Trans. on CAD, 23(4):565--573, April 2004.
[21]
K. Wang and M. Marek-Sadowska. Buffer sizing for clock power minimization subject to general skew constraints. In DAC, pages 159--164, 2004.

Cited By

View all
  • (2024)CAUTS: Clock Tree Optimization via Skewed Cells With Complementary Asymmetrical Uniform Transistor SizingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.332859232:1(137-149)Online publication date: Jan-2024
  • (2021)Security-Driven Placement and Routing Tools for Electromagnetic Side-Channel ProtectionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.302493840:6(1077-1089)Online publication date: Jun-2021
  • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Mar-2020
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '06: Proceedings of the 43rd annual Design Automation Conference
July 2006
1166 pages
ISBN:1595933816
DOI:10.1145/1146909
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 24 July 2006

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. clock tree synthesis
  2. robust design
  3. skew

Qualifiers

  • Article

Conference

DAC06
Sponsor:
DAC06: The 43rd Annual Design Automation Conference 2006
July 24 - 28, 2006
CA, San Francisco, USA

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 24 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)CAUTS: Clock Tree Optimization via Skewed Cells With Complementary Asymmetrical Uniform Transistor SizingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.332859232:1(137-149)Online publication date: Jan-2024
  • (2021)Security-Driven Placement and Routing Tools for Electromagnetic Side-Channel ProtectionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.302493840:6(1077-1089)Online publication date: Jun-2021
  • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Mar-2020
  • (2019)Latency constraint guided buffer sizing and layer assignment for clock trees with useful skewProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287681(761-766)Online publication date: 21-Jan-2019
  • (2019)Clock Skew Optimization for Voltage Variation2019 China Semiconductor Technology International Conference (CSTIC)10.1109/CSTIC.2019.8755674(1-3)Online publication date: Mar-2019
  • (2017)A Multiobjective Cooptimization of Buffer and Wire Sizes in High-Performance Clock TreesIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2016.259858164:4(412-416)Online publication date: Apr-2017
  • (2014)Clock Mesh Network Design with Through-Silicon Vias in 3D Integrated CircuitsETRI Journal10.4218/etrij.14.0113.125736:6(931-941)Online publication date: 1-Dec-2014
  • (2013)A self-tuning multi-objective optimization framework for geometric programming with gate sizing applicationsProceedings of the 23rd ACM international conference on Great lakes symposium on VLSI10.1145/2483028.2483115(305-310)Online publication date: 2-May-2013
  • (2013)Smart non-default routing for clock power reductionProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488846(1-7)Online publication date: 29-May-2013
  • (2013)Buffer sizing for clock networks using robust geometric programming considering variations in buffer sizesProceedings of the 2013 ACM International symposium on Physical Design10.1145/2451916.2451956(154-161)Online publication date: 24-Mar-2013
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media