Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1023833.1023844acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Scalable custom instructions identification for instruction-set extensible processors

Published: 22 September 2004 Publication History

Abstract

Extensible processors allow addition of application-specific custom instructions to the core instruction set architecture. However, it is computationally expensive to automatically select the optimal set of custom instructions. Therefore, heuristic techniques are often employed to quickly search the design space. In this paper, we present an efficient algorithm for exact enumeration of all possible candidate instructions given the dataflow graph (DFG) corresponding to a code fragment. Even though this is similar to the "subgraph enumeration" problem (which is exponential), we find that most subgraphs are not feasible candidates for various reasons. In fact, the number of candidates is quite small compared to the size of the DFG. Compared to previous approaches, our technique achieves orders of magnitude speedup in enumerating these candidate custom instructions for very large DFGs.

References

[1]
A. Aho, J. Hopcroft, and J.D.Ullman. Data structures and Algorithms. Addison-Wesley, 1987.
[2]
Altera. Nios embedded processor system development. http://www.altera.com/products/ip/processors/nios/nio-index.html.
[3]
M. Arnold and H. Corporaal. Designing domain-specific processors. In CODES, 2001.
[4]
K. Atasu, L. Pozzi, and P. Ienne. Automatic application-specific instruction-set extensions under microarchitectural constraints. In DAC, 2003.
[5]
D. Avis and K. Fukuda. Reverse search for enumeration. Discrete Applied Mathematics, 65, 1996.
[6]
M. Baleani et al. Hw/Sw partitioning and code generation of embedded control applications on a reconfigurable architecture platform. In CODES, May 2002.
[7]
P. Brisk, A. Kaplan, R. Kastner, and M. Sarrafzadeh. Instruction generation and regularity extraction for reconfigurable processors. In CASES, October 2002.
[8]
D. Burger, T. Austin, and S. Bennett. Evaluating Future Microprocessors: The SimpleScalar Toolset. Technical Report CS-TR96-1308, Univ. of Wisconsin - Madison, 1996. Available from http://www.simplescalar.com.
[9]
N. Clark, H. Zhong, and S. Mahlke. Processor acceleration through automated instruction set customization. In MICRO36, 2003.
[10]
J. Cong, Y. Fan, G. Han, and Z. Zhang. Application-specific instruction generation for configurable processor architectures. In FPGA, 2004.
[11]
P. Faraboschi et al. Lx: a technology platform for customizable VLIW embedded processing. In ISCA, 2000.
[12]
R. E. Gonzalez. Xtensa: A configurable and extensible processor. IEEE Micro, 20(2), 2000.
[13]
M. R. Guthausch et al. Mibench: A free, commercially representative embedded benchmark suite. In IEEE 4th Annual Workshop on Workload Characterization, 2001. Benchmark available from http://www.eecs.umich.edu/mibench/.
[14]
J. Lee, K. Choi, and N. Dutt. Efficient instruction encoding for automatic instruction set design of configurable ASIPs. In ICCAD, 2002.
[15]
S. Talla. Adaptive Explicitly Parallel Instruction Computing. PhD thesis, New York University, 2000.
[16]
L. Pozzi, M. Vuletic, and P. Ienne. Automatic topology-based identification of instruction-set extensions for embedded processor. Technical Report 01/377, NSwiss Federal Institute of Technology Lausanne (EPFL), 2001.
[17]
P. Yu and T. Mitra. Characterizing embedded applications for instruction-set extensible processors. In Proceedings of DAC, 2004.
[18]
Z. A. Ye. et al. Chimaera: A high-performance architecture with a tightly-coupled reconfigurable functional unit. In ISCA, 2000.

Cited By

View all
  • (2024)Accelerating Chaining in Genomic Analysis Using RISC- V Custom Instructions2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546650(1-6)Online publication date: 25-Mar-2024
  • (2024)Automating application-driven customization of ASIPs: A surveyJournal of Systems Architecture10.1016/j.sysarc.2024.103080148(103080)Online publication date: Mar-2024
  • (2022)Custom Instructions for Networked Processor TemplatesIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2022.317838969:7(3096-3100)Online publication date: Jul-2022
  • Show More Cited By

Index Terms

  1. Scalable custom instructions identification for instruction-set extensible processors

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CASES '04: Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
    September 2004
    324 pages
    ISBN:1581138903
    DOI:10.1145/1023833
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 22 September 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ASIPs
    2. customizable processors
    3. instruction-set extensions
    4. subgraph enumeration algorithm

    Qualifiers

    • Article

    Conference

    CASES04

    Acceptance Rates

    Overall Acceptance Rate 52 of 230 submissions, 23%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)24
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 18 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Accelerating Chaining in Genomic Analysis Using RISC- V Custom Instructions2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546650(1-6)Online publication date: 25-Mar-2024
    • (2024)Automating application-driven customization of ASIPs: A surveyJournal of Systems Architecture10.1016/j.sysarc.2024.103080148(103080)Online publication date: Mar-2024
    • (2022)Custom Instructions for Networked Processor TemplatesIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2022.317838969:7(3096-3100)Online publication date: Jul-2022
    • (2021)ISAMod: A Tool for Designing ASIPs by Comparing Different ISAs2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID)10.1109/VLSID51830.2021.00005(1-6)Online publication date: Feb-2021
    • (2021)An Optimal Algorithm for Enumerating Connected Convex Subgraphs in Acyclic DigraphsIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2020.300029768:1(261-265)Online publication date: Jan-2021
    • (2021)Custom enhancements to networked processor templates2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI51109.2021.00049(224-229)Online publication date: Jul-2021
    • (2020)FINDER: Find Efficient Parallel Instructions for ASIPs to Improve Performance of Large ApplicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012211(1-1)Online publication date: 2020
    • (2020)Time-Predictable Software-Defined Architecture with Sdf-Based Compiler Flow for 5g Baseband ProcessingICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)10.1109/ICASSP40776.2020.9054285(1553-1557)Online publication date: May-2020
    • (2019)RegionSeeker: Automatically Identifying and Selecting Accelerators From Application Source CodeIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.281868938:4(741-754)Online publication date: Apr-2019
    • (2018)Static Worst-Case Execution Time Optimization using DPSO for ASIP ArchitectureIngeniería Solidaria10.16925/.v14i0.223014:25(1-11)Online publication date: 1-May-2018
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media