Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1837274.1837457acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

An efficient phase detector connection structure for the skew synchronization system

Published: 13 June 2010 Publication History

Abstract

Clock skew optimization continues to be an important concern in circuit designs. To overcome the influence caused by PVT variations, the automatic skew synchronization scheme can dynamically adjust and reduce the clock skew after a chip is manufactured. There are two key components in the skew synchronization scheme: Adjustable Delay Buffer (ADB) and Phase Detector (PD). Most previous researchers have emphasized on ADB placement issues. In this paper, we show that the connection between FFs and PDs can also greatly influence the final clock skew due to the insertion of the PDs. We first analyze the influence of PD connection structures. Then we propose an algorithm to generate a PD connection structure which achieves the minimum influence to the clock skew. Our experimental results are very encouraging.

References

[1]
Bang Ye Wu, "An improved algorithm for the k-source maximum eccentricity spanning trees," Discrete Applied Mathematics, 2004.
[2]
Charles E. Dike, Nasser A. Kurd, Priyadarsan Patra, and Javed Barkatullah, "A design for digital, dynamic clock deskew," Digest of technical papers of the symposium on VLSI circuits, 2003.
[3]
Charles J. Alpert, Anirudh Devgan, and Stephen T. Quay, "Buffer insertion with accurate gate and interconnect delay computation," Proceedings of the 36th ACM/IEEE conference on Design automation, 1999.
[4]
Eiichi Takahashi, Yuji Kasai, Masahiro Murakawa, and Tetsuya Higuchi, "A post-silicon clock timing adjustment using genetic algorithms," Digest of technical papers of the symposium on VLSI circuits, 2003.
[5]
George Geannopoulos, and Ximing Dai "An Adaptive Digital Deskewing Circuit for Clock Distribution Networks, "Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 1998.
[6]
H. B. McMahan, A. Proskurowski, "Multi-source spanning trees: algorithms for minimizing source eccentricities," Discrete Applied Mathematics, 2004.
[7]
Jan-Ming Ho, D. T. Lee, Chia-Hsiang Chang, and C. K. Wong, "Minimum diameter spanning trees and related problems," Society for Industrial and Applied Mathematics Journal on Computing, 1991.
[8]
Jason Cong, Zhigang Pan, Lei He, Cheng-Kok Koh, and Kei-Yong Khoo, "Interconnect design for deep submicron ICs," Proceedings of the IEEE/ACM international conference on Computer-aided design, 1997.
[9]
Jeng-Liang Tsai, and Lizheng Zhang and Charlie Chung-Ping Chen, "Statistical timing analysis driven post-silicon-tunable clock-tree synthesis," Proceedings of the IEEE/ACM International conference on Computer-aided design, 2005.
[10]
Nasser A. Kurd, Javed S. Barkatullah, Rommel O. Dizon, Thomas D. Fletcher, and Paul D. Madland, "A multigigahertz clocking scheme for the Pentium 4 microprocessor," IEEE Journal of Solid State Circuits, VOL. 36, 2001.
[11]
Patrick Mahoney, Eric Fetzer, Bruce Doyle, Sam Naffziger, "Clock distribution on a dual-core, multi-threaded Itanium-family processor," Proceedings of the IEEE International Solid-State Circuits Conference, 2005.
[12]
Simon Tam, Rahul Dilip Limaye, and Utpal Nagarji Desai, "Clock generation and distribution for the 130-nm Itanium 2 processor with 6-MB On-Die L3 cache," IEEE Journal of Solid State Circuits, VOL. 39, 2004.
[13]
Simon Tam, Stefan Rusu, Utpal Nagarji Desai, Robert Kim, Ji Zhang, and Ian Young, "Clock generation and distribution for the first IA-64 microprocessor," IEEE Journal of Solid State Circuits, VOL. 35, 2000.
[14]
Ting-Hai Chao, Yu-Chin Hsu, Jan-Ming Ho, Kenneth D. Boese, Student Member, IEEE, and Andrew B. Kahng, "Zero skew clock routing with minimum wirelength," IEEE Transaction on Circuits and Systems II: Analog and Digital Signal Processing, VOL. 39, 1992.
[15]
Ting-Hai Chao, Yu-Chin Hsu, and Jan-Ming Ho "Zero skew clock net routing," Proceedings of the 29th ACM/IEEE conference on Design automation, 1992.
[16]
Uday Padmanabhan, Janet Meiling Wang, Senior Member, IEEE, and Jiang Hu, "Robust clock tree routing in the presence of process variations," IEEE Transaction on Computer-aided Design of Integrated Circuits and Systems, VOL. 27, NO. 8, 2008.
[17]
Vishal Khandelwal and Ankur Srivastava, "Variability-driven formulation for simultaneous gate sizing and postsilicon tunability allocation," IEEE Transaction on Computer-aided Design of Integrated Circuits and Systems, VOL. 27, NO. 4, 2008.
[18]
Yi Wang, Wai-Shing Luk, Xuan Zeng, Jun Tao, Changhao Yan, Jiarong Tong, Wei Cai, and Jia Ni, "Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays," Proceedings of the 45th ACM/IEEE conference on Design automation, 2008.
[19]
Yu-Shih Su, Wing-Kai Hon, Cheng-Chih Yang, Shih-Chieh Chang, Yeong-Jar Chang, "Value assignment of adjustable delay duffers for clock skew minimization in Multi-Voltage Mode Designs," Proceedings of the IEEE/ACM International conference on Computer-aided design, 2009.

Cited By

View all
  • (2023)Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock TuningIEEE Electron Device Letters10.1109/LED.2023.331731644:11(1907-1910)Online publication date: Nov-2023
  • (2015)A Fault Detection and Tolerance Architecture for Post-Silicon Skew TuningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.233766123:7(1210-1220)Online publication date: Jul-2015
  • (2015)Low-Power and Low-Variability Programmable Delay Element and Its Application to Post-Silicon Skew Tuning2015 IEEE Computer Society Annual Symposium on VLSI10.1109/ISVLSI.2015.91(167-171)Online publication date: Jul-2015
  • Show More Cited By

Index Terms

  1. An efficient phase detector connection structure for the skew synchronization system

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      DAC '10: Proceedings of the 47th Design Automation Conference
      June 2010
      1036 pages
      ISBN:9781450300025
      DOI:10.1145/1837274
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 13 June 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. adjustable delay buffer
      2. phase detector
      3. post-silicon tuning

      Qualifiers

      • Research-article

      Funding Sources

      • Novatek Microelectronics Corp.

      Conference

      DAC '10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 1,264 of 4,035 submissions, 31%

      Upcoming Conference

      DAC '25
      62nd ACM/IEEE Design Automation Conference
      June 22 - 26, 2025
      San Francisco , CA , USA

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 16 Nov 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Programmable Delay Element Using Dual-Port FeFET for Post-Silicon Clock TuningIEEE Electron Device Letters10.1109/LED.2023.331731644:11(1907-1910)Online publication date: Nov-2023
      • (2015)A Fault Detection and Tolerance Architecture for Post-Silicon Skew TuningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.233766123:7(1210-1220)Online publication date: Jul-2015
      • (2015)Low-Power and Low-Variability Programmable Delay Element and Its Application to Post-Silicon Skew Tuning2015 IEEE Computer Society Annual Symposium on VLSI10.1109/ISVLSI.2015.91(167-171)Online publication date: Jul-2015
      • (2012)Post silicon skew tuning: Survey and analysis17th Asia and South Pacific Design Automation Conference10.1109/ASPDAC.2012.6165036(646-651)Online publication date: Jan-2012
      • (2011)A robust architecture for post-silicon skew tuningProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132493(774-778)Online publication date: 7-Nov-2011
      • (2011)Fault-tolerant 3D clock networkProceedings of the 48th Design Automation Conference10.1145/2024724.2024872(645-651)Online publication date: 5-Jun-2011
      • (2011)A robust architecture for post-silicon skew tuningProceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design10.1109/ICCAD.2011.6105417(774-778)Online publication date: 7-Nov-2011

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media