Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1109/ISCA52012.2021.00045acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

CODIC: a low-cost substrate for enabling custom in-DRAM functionalities and optimizations

Published: 25 November 2021 Publication History

Abstract

DRAM is the dominant main memory technology used in modern computing systems. Computing systems implement a memory controller that interfaces with DRAM via DRAM commands. DRAM executes the given commands using internal components (e.g., access transistors, sense amplifiers) that are orchestrated by DRAM internal timings, which are fixed for each DRAM command. Unfortunately, the use of fixed internal timings limits the types of operations that DRAM can perform and hinders the implementation of new functionalities and custom mechanisms that improve DRAM reliability, performance and energy. To overcome these limitations, we propose enabling programmable DRAM internal timings for controlling in-DRAM components.
To this end, we design CODIC, a new low-cost DRAM substrate that enables fine-grained control over four previously fixed internal DRAM timings that are key to many DRAM operations. We implement CODIC with only minimal changes to the DRAM chip and the DDRx interface. To demonstrate the potential of CODIC, we propose two new CODIC-based security mechanisms that outperform state-of-the-art mechanisms in several ways: (1) a new DRAM Physical Unclonable Function (PUF) that is more robust and has significantly higher throughput than state-of-the-art DRAM PUFs, and (2) the first cold boot attack prevention mechanism that does not introduce any performance or energy overheads at runtime.

References

[1]
Advanced Micro Devices Inc., "AMD Secure Encrypted Virtualization (SEV)," https://developer.amd.com/sev/, 2020.
[2]
S. Aga and S. Narayanasamy, "InvisiMem: Smart Memory Defenses for Memory Bus Side Channel," in ISCA, 2017.
[3]
J. Ahn et al., "A Scalable Processing-in-Memory Accelerator for Parallel Graph Processing," in ISCA, 2015.
[4]
J. Ahn et al., "PIM-Enabled Instructions: A Low-Overhead, Locality-Aware Processing-in-Memory Architecture," in ISCA, 2015.
[5]
M. Anikeev and F. Freiling, "Preventing Malicious Data Harvesting from Deallocated Memory Areas," in SIN, 2013.
[6]
Arizona State Univ., NIMO Group, "Predictive Technology Model," http://ptm.asu.edu/, 2012.
[7]
T. W. Arnold and L. P. Van Doorn, "The IBM PCIXCC: A New Cryptographic Coprocessor for the IBM eServer," IBM JRD, 2004.
[8]
A. Awad et al., "ObfusMem: A Low-Overhead Access Obfuscation for Trusted Memories," in ISCA, 2017.
[9]
A. Aysu et al., "A New Maskless Debiasing Method for Lightweight Physical Unclonable Functions," in HOST, 2017.
[10]
A. Bacha and R. Teodorescu, "Authenticache: Harnessing Cache ECC for System Authentication," in MICRO, 2015.
[11]
K. S. Bains and J. B. Halbert, "Distributed Row Hammer Tracking," U.S. Patent 9 299 400, 2016.
[12]
J. Bauer et al., "Lest We Forget: Cold-Boot Attacks on Scrambled DDR3 Memory," Digital Investigation, 2016.
[13]
D. J. Bernstein, "ChaCha, A Variant of Salsa20," in SASC, 2008.
[14]
M. Bhargava et al., "Reliability Enhancement of Bi-Stable PUFs in 65nm Bulk CMOS," in HOST, 2012.
[15]
I. Bhati et al., "Flexible Auto-Refresh: Enabling Scalable and Energy-Efficient DRAM Refresh Reductions," in ISCA, 2015.
[16]
B. Bordel and R. Alcarria, "Digital Watermarking for Enriched Video Streams in Edge Computing Architectures Using Chaotic Mixtures and Physical Unclonable Functions," in MobiSec, 2019.
[17]
A. Boroumand et al., "Mitigating Edge Machine Learning Inference Bottlenecks: An Empirical Study on Accelerating Google Edge Models," arXiv:2103.00768 [cs.AR], 2021.
[18]
A. Boroumand et al., "Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks," in ASPLOS, 2018.
[19]
A. Boroumand et al., "CoNDA: Efficient Cache Coherence Support for Near-Data Accelerators," in ISCA, 2019.
[20]
K. Chandrasekar et al., "DRAMPower: Open-Source DRAM Power & Energy Estimation Tool," http://www.drampower.info.
[21]
K. K. Chang et al., "Low-Cost Inter-Linked Subarrays (LISA): Enabling Fast Inter-Subarray Data Movement in DRAM," in HPCA, 2016.
[22]
K. K. W. Chang et al., "Improving DRAM Performance by Parallelizing Refreshes with Accesses," in HPCA, 2014.
[23]
K. K. Chang et al., "Understanding Latency Variation in Modern DRAM Chips: Experimental Characterization, Analysis, and Optimization," SIGMETRICS, 2016.
[24]
K. K. Chang et al., "Understanding Reduced-Voltage Operation in Modern DRAM Devices: Experimental Characterization, Analysis, and Mechanisms," SIGMETRICS, 2017.
[25]
W. Chang, "Instant-On Computer System and Method for Instantly Booting a Computer System," U.S. Patent Appl. 11/272 368, 2006.
[26]
W. Che et al., "PUF-Based Authentication," in ICCAD, 2015.
[27]
J. Choi et al., "Multiple Clone Row DRAM: A Low Latency and Area Optimized DRAM," ISCA, 2015.
[28]
J. Chow et al., "Shredding Your Garbage: Reducing Data Lifetime Through Secure Deallocation." in USENIX Security, 2005.
[29]
V. Costan and S. Devadas, "Intel SGX Explained." IACR Cryptology ePrint Archive, 2016.
[30]
Cypress Semiconductor Corp., "3.0 V/1.8 V, 64 Mb (8 MB)/128 Mb (16 MB), HyperRAM Self-Refresh DRAM," http://www.cypress.com/file/183506/download, 2019.
[31]
F. Dabiri and M. Potkonjak, "Hardware Aging-Based Software Metering," in DATE, 2009.
[32]
J. Daemen and V. Rijmen, The Design of Rijndael: AES --- The Advanced Encryption Standard. Springer-Verlag, 2002.
[33]
H. David et al., "Memory Power Management via Dynamic Voltage/Frequency Scaling," in ICAC, 2011.
[34]
Q. Deng et al., "MemScale: Active Low-Power Modes for Main Memory," ASPLOS, 2011.
[35]
G. Duc and R. Keryell, "CryptoPage: An Efficient Secure Architecture with Memory Encryption, Integrity and Information Leakage Protection," in ACSAC, 2006.
[36]
P. Frigo et al., "TRRespass: Exploiting the Many Sides of Target Row Refresh," in SP, 2020.
[37]
R. Fromm et al., "The Energy Efficiency of IRAM Architectures," ISCA, 1997.
[38]
F. Gao et al., "ComputeDRAM: In-Memory Compute Using Off-the-Shelf DRAMs," in MICRO, 2019.
[39]
T. Garfinkel et al., "Data Lifetime is a Systems Problem," in EW, 2004.
[40]
B. Gassend et al., "Silicon Physical Random Functions," in CCS, 2002.
[41]
R. Geambasu et al., "Vanish: Increasing Data Privacy with Self-Destructing Data," in USENIX Security, 2009.
[42]
S. Ghose et al., "Processing-in-Memory: A Workload-driven Perspective," IBM JRD, 2019.
[43]
S. Ghose et al., "Enabling the Adoption of Processing-in-Memory: Challenges, Mechanisms, Future Research Directions," arXiv:1802.00320 [cs.AR], 2018.
[44]
M. Gokhale et al., "Processing in Memory: The Terasys Massively Parallel PIM Array," Computer, 1995.
[45]
J. Gómez-Luna et al., "Benchmarking a New Paradigm: Understanding a Modern Processing-in-Memory Architecture," arXiv:2105.03814 [cs.AR], 2021.
[46]
Z. Greenfield and L. Tomer, "Throttling Support for Row-Hammer Counters," U.S. Patent 9 251 885, 2016.
[47]
M. Gruhn and T. Müller, "On the Practicability of Cold Boot Attacks," in ARES, 2013.
[48]
J. Guajardo et al., "FPGA Intrinsic PUFs and Their Use for IP Protection," in CHES, 2007.
[49]
J. Guajardo et al., "Brand and IP Protection with Physical Unclonable Functions," in ISCAS, 2008.
[50]
J. Haj-Yahya et al., "SysScale: Exploiting Multi-Domain Dynamic Voltage and Frequency Scaling for Energy Efficient Mobile Processors," in ISCA, 2020.
[51]
N. Hajinazar et al., "SIMDRAM: A Framework for Bit-Serial SIMD Processing Using DRAM," in ASPLOS, 2021.
[52]
J. A. Halderman et al., "Lest We Remember: Cold-Boot Attacks on Encryption Keys," USENIX Security, 2008.
[53]
G. Hammouri and B. Sunar, "PUF-HB: A Tamper-Resilient HB Based Authentication Protocol," in ACNS, 2008.
[54]
K. Harrison and S. Xu, "Protecting Cryptographic Keys from Memory Disclosure Attacks," in DSN, 2007.
[55]
M. S. Hashemian et al., "A Robust Authentication Methodology Using Physically Unclonable Functions in DRAM Arrays," in DATE, 2015.
[56]
H. Hassan et al., "ChargeCache: Reducing DRAM Latency by Exploiting Row Access Locality," in HPCA, 2016.
[57]
H. Hassan et al., "SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies," in HPCA, 2017.
[58]
H. Hassan et al., "CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability," in ISCA, 2019.
[59]
M. Henson and S. Taylor, "Memory Encryption: A Survey of Existing Techniques," CSUR, 2014.
[60]
C. Hilgers et al., "Post-Mortem Memory Analysis of Cold-Booted Android Devices," in IMF, 2014.
[61]
D. E. Holcomb et al., "Power-Up SRAM State as an Identifying Fingerprint and Source of True Random Numbers," in TC, 2009.
[62]
D. E. Holcomb et al., "Initial SRAM State as a Fingerprint and Source of True Random Numbers for RFID Tags," in RFIDSec, 2007.
[63]
Intel Corp., Intel® Atom Processor D400 Series (Single Core), December 2012.
[64]
Intel Corp., Intel® 64 and IA-32 Architectures Software Developer's Manual, September 2016.
[65]
P. Jaccard, "Étude Comparative de la Distribution Florale Dans une Portion des Alpes et des Jura," Bull Soc Vaudoise Sci Nat, 1901.
[66]
JEDEC Solid State Technology Assn., JESD209A: Low Power Double Data Rate (LPDDR) SDRAM Standard, February 2009.
[67]
JEDEC Solid State Technology Assn., JESD79-3F: DDR3 SDRAM Standard, July 2012.
[68]
Y. Jiang et al., "Quantifying Rowhammer Vulnerability for DRAM Security," in DAC, 2021.
[69]
Y. Kang et al., "FlexRAM: Toward an Advanced Intelligent Memory System," in ICCD, 1999.
[70]
D. Kaplan et al., "AMD Memory Encryption," AMD White Paper, 2016.
[71]
B. Keeth, DRAM Circuit Design: Fundamental and High-Speed Topics. John Wiley & Sons, 2008.
[72]
C. Keller et al., "Dynamic Memory-Based Physically Unclonable Function for the Generation of Unique Identifiers and True Random Numbers," in ISCAS, 2014.
[73]
S. Khaleghi and W. Rao, "Hardware Obfuscation Using Strong PUFs," in ISVLSI, 2018.
[74]
S. Khan et al., "The Efficacy of Error Mitigation Techniques for DRAM Retention Failures: A Comparative Experimental Study," SIGMETRICS, 2014.
[75]
J. S. Kim et al., "The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices," in HPCA, 2018.
[76]
J. S. Kim et al., "Solar-DRAM: Reducing DRAM Access Latency by Exploiting the Variation in Local Bitlines," in ICCD, 2018.
[77]
J. S. Kim et al., "D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput," in HPCA, 2019.
[78]
J. S. Kim et al., "Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques," ISCA, 2020.
[79]
Y. Kim et al., "Ramulator: A Fast and Extensible DRAM Simulator," CAL, 2016.
[80]
Y. Kim et al., "Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors," in ISCA, 2014.
[81]
Y. Kim et al., "A Case for Exploiting Subarray-Level Parallelism (SALP) in DRAM," in ISCA, 2012.
[82]
S. Koppula et al., "EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM," in MICRO, 2019.
[83]
D. Lee et al., "Adaptive-Latency DRAM: Optimizing DRAM Timing for the Common-Case," in HPCA, 2015.
[84]
D. Lee et al., "Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms," SIGMETRICS, 2017.
[85]
E. Lee et al., "TWiCe: Preventing Row-Hammering by Exploiting Time Window Counters," in ISCA, 2019.
[86]
H. T. Lee et al., "Correcting Errors in Private Keys Obtained from Cold Boot Attacks," in ICISC, 2011.
[87]
M. M. Lee et al., "Instant on Platform," U.S. Patent Appl. 12/513 827, 2010.
[88]
Y. Lee et al., "DRAM Architecture for Efficient Data Lifetime Management," IEICE, 2017.
[89]
S. Li et al., "Pinatubo: A Processing-in-Memory Architecture for Bulk Bitwise Operations in Emerging Non-Volatile Memories," in DAC, 2016.
[90]
D. Lim et al., "Extracting Secret Keys from Integrated Circuits," VLSI, 2005.
[91]
S. Lindenlauf et al., "Cold Boot Attacks on DDR2 and DDR3 SDRAM," in ARES, 2015.
[92]
J. Liu et al., "An Experimental Study of Data Retention Behavior in Modern DRAM Devices: Implications for Retention Time Profiling Mechanisms," in ISCA, 2013.
[93]
J. Liu et al., "RAIDR: Retention-Aware Intelligent DRAM Refresh," in ISCA, 2012.
[94]
B. Lucia et al., "Intermittent Computing: Challenges and Opportunities," in SNAPL, 2017.
[95]
R. Maes et al., "PUFKY: A Fully Functional PUF-Based Cryptographic Key Generator," in CHES, 2012.
[96]
M. Majzoobi et al., "Slender PUF Protocol: A Lightweight, Robust, and Secure Authentication by Substring Matching," in S&P Workshops, 2012.
[97]
P. McGregor et al., "Braving the Cold: New Methods for Preventing Cold Boot Attacks on Encryption Keys," in Black Hat, 2008.
[98]
Micron Technology, Inc., "DDR3 SDRAM," https://www.micron.com/products/dram/ddr3-sdram/.
[99]
Micron Technology, Inc., "DDR4 SDRAM LRDIMM 64GB," https://www.micron.com/~/media/documents/products/data-sheet/modules/lrdimm/ddr4/ass72c8gx72lz.pdf.
[100]
T. Müller et al., "AESSE: A Cold-Boot Resistant Implementation of AES," in EUROSEC, 2010.
[101]
O. Mutlu et al., "Processing Data Where It Makes Sense: Enabling In-Memory Computation," Microprocessors and Microsystems, 2019.
[102]
O. Mutlu et al., "A Modern Primer on Processing in Memory," arXiv:2012.03112 [cs.AR], 2020.
[103]
O. Mutlu and J. S. Kim, "RowHammer: A retrospective," TCAD, 2019.
[104]
A. Olgun et al., "QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAMs," in ISCA, 2021.
[105]
G. F. Oliveira et al., "DAMOV: A New Methodology and Benchmark Suite for Evaluating Data Movement Bottlenecks," arXiv:2105.03725 [cs.AR], 2021.
[106]
L. Orosa et al., "Dataplant: Enhancing System Security with Low-Cost In-DRAM Value Generation Primitives," arXiv:1902.07344 [cs.CR], 2019.
[107]
L. Orosa et al., "CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations," arXiv, 2021.
[108]
Z. Paral and S. Devadas, "Reliable and Efficient PUF-based Key Generation Using Pattern Matching," in HOST, 2011.
[109]
M. Patel et al., "The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions," in ISCA, 2017.
[110]
A. Pilkey, "The Chilling Reality of Cold Boot Attacks," https://blog.f-secure.com/cold-boot-attacks/.
[111]
F. H. Reynolds, "Thermally Accelerated Aging of Semiconductor Components," Proceedings of the IEEE, 1974.
[112]
S. Rixner et al., "Memory Access Scheduling," in ISCA, 2000.
[113]
K. D. Roeth et al., "Accurate Mask Registration on Tilted Lines for 6F2 DRAM Manufacturing," in Photomask Technology, 2015.
[114]
B. Rogers et al., "Using Address Independent Seed Encryption and Bonsai Merkle Trees to Make Secure Processors OS- and Performance-Friendly," in MICRO, 2007.
[115]
M. Rostami et al., "Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching," TETC, 2014.
[116]
A. Rukhin et al., "A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications," National Institute of Standards and Technology, Tech. Rep. 800-22 Rev 1a, 2010.
[117]
A. G. Sabnis and J. T. Nelson, "A Physical Model for Degradation of DRAMs During Accelerated Stress Aging," in IRPS, 1983.
[118]
SAFARI Research Group, "Ramulator: A DRAM Simulator --- GitHub Repository," https://github.com/CMU-SAFARI/ramulator.
[119]
B. Saha et al., "Towards Prognostics for Electronics Components," in AESS, 2009.
[120]
A. Schaller et al., "Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security," in HOST, 2017.
[121]
T. Schloesser et al., "6F2 Buried Wordline DRAM Cell for 40nm and Beyond," in IEDM, 2008.
[122]
M. Seaborn and T. Dullien, "Exploiting the DRAM rowhammer bug to gain kernel privileges," Black Hat, 2015.
[123]
H. Seol et al., "In-DRAM Data Initialization," VLSI, 2017.
[124]
H. Seol et al., "Amnesiac DRAM: A Proactive Defense Mechanism Against Cold Boot Attacks," TC, 2019.
[125]
V. Seshadri et al., "RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization," in MICRO, 2013.
[126]
V. Seshadri et al., "Fast Bulk Bitwise AND and OR in DRAM," CAL, 2015.
[127]
V. Seshadri et al., "Buddy-RAM: Improving the Performance and Efficiency of Bulk Bitwise Operations Using DRAM," arXiv:1611.09988 [cs.AR], 2016.
[128]
V. Seshadri et al., "Ambit: In-Memory Accelerator for Bulk Bitwise Operations Using Commodity DRAM Technology," in MICRO, 2017.
[129]
V. Seshadri et al., "Gather-Scatter DRAM: In-DRAM Address Translation to Improve the Spatial Locality of Non-Unit Strided Accesses," in MICRO, 2015.
[130]
V. Seshadri and O. Mutlu, "Simple Operations in Memory to Reduce Data Movement," in Advances in Computers, 2017.
[131]
V. Seshadri and O. Mutlu, "In-DRAM Bulk Bitwise Execution Engine," arXiv:1905.09822 [cs.AR], 2019.
[132]
L. Sha et al., "IIoT-SIDefender: Detecting and Defense Against the Sensitive Information Leakage in Industry IoT," WWW, 2018.
[133]
A. Shafiee et al., "Secure DIMM: Moving ORAM Primitives Closer to Memory," in HPCA, 2018.
[134]
R. Shaltiel, "An Introduction to Randomness Extractors," in ICALP, 2011.
[135]
P. Simmons, "Security Through Amnesia: A Software-Based Solution to the Cold Boot Attack on Disk Encryption," in ACSAC, 2011.
[136]
G. Sonnenfeld et al., "An Agile Accelerated Aging, Characterization and Scenario Simulation System for Gate Controlled Power Transistors," in AUTOTEST, 2008.
[137]
ST Instruments B.V. (2020) Ultra High Resolution SEM Observation of DRAM Capacitors. https://www.stinstruments.com/microelectronics/ultra-high-resolution-sem-observation-of-dram-capacitors/.
[138]
T. A. Stenger, "Wildlife-Sensing Digital Camera with Instant-On Capability and Picture Management Software," U.S. Patent 7 471 334, 2008.
[139]
H. S. Stone, "A Logic-in-Memory Computer," TC, 1970.
[140]
G. E. Suh and S. Devadas, "Physical Unclonable Functions for Device Authentication and Secret Key Generation," in DAC, 2007.
[141]
G. E. Suh et al., "Efficient Memory Integrity Verification and Encryption for Secure Processors," in MICRO, 2003.
[142]
S. Sutar et al., "D-PUF: An Intrinsically Reconfigurable DRAM PUF for Device Authentication in Embedded Systems," in CASES, 2016.
[143]
B. B. Talukder et al., "Exploiting DRAM Latency Variations for Generating True Random Numbers," in ICCE, 2019.
[144]
B. B. Talukder et al., "PreLatPUF: Exploiting DRAM Latency Variations for Generating Robust Device Signatures," IEEE Access, 2019.
[145]
F. Tehranipoor et al., "Investigation of DRAM PUFs Reliability Under Device Accelerated Aging Effects," in ISCAS, 2017.
[146]
F. Tehranipoor et al., "DRAM Based Intrinsic Physical Unclonable Functions for System Level Security," in GLSVLSI, 2015.
[147]
Trusted Computing Group, "TCG Platform Reset Attack Mitigation Specification," TCG, 2008.
[148]
V. van der Leest et al., "Hardware Intrinsic Security from D Flip-Flops," in STC, 2010.
[149]
R. K. Venkatesan et al., "Retention-Aware Placement in DRAM (RAPID): Software Methods for Quasi-Non-Volatile DRAM," in HPCA, 2006.
[150]
R. Villanueva-Polanco, "Cold Boot Attacks on Bliss," in LATINCRYPT. 2019.
[151]
Y. Wang et al., "FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching," in MICRO, 2020.
[152]
Y. Wang et al., "Reducing DRAM Latency via Charge-Level-Aware Look-ahead Partial Restoration," in MICRO, 2018.
[153]
S. Wei et al., "Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels," in DAC, 2014.
[154]
J. B. Wendt and M. Potkonjak, "The Bidirectional Polyomino Partitioned PPUF as a Hardware Security Primitive," in GlobalSIP, 2013.
[155]
J. B. Wendt and M. Potkonjak, "Hardware Obfuscation Using PUF-Based Logic," in ICCAD, 2014.
[156]
K. Xiao et al., "Bit Selection Algorithm Suitable for High-Volume Production of SRAM-PUF," in HOST, 2014.
[157]
W. Xiong et al., "Run-Time Accessible DRAM PUFs in Commodity Devices," in CHES, 2016.
[158]
J. Yang et al., "Improving Memory Encryption Performance in Secure Processors," TC, 2005.
[159]
S. F. Yitbarek et al., "Cold Boot Attacks Are Still Hot: Security Analysis of Memory Scramblers in Modern Processors," in HPCA, 2017.
[160]
M. D. Yu et al., "Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC," in HOST, 2012.
[161]
X. Zhang et al., "Restore Truncation for Performance Improvement in Future DRAM Systems," in HPCA, 2016.
[162]
Z. K. Zhang et al., "IoT Security: Ongoing Challenges and Research Opportunities," in SOCA, 2014.
[163]
J. X. Zheng and M. Potkonjak, "A Digital PUF-Based IP Protection Architecture for Network Embedded Systems," in ANCS, 2014.
[164]
Y. Zheng et al., "RESP: A Robust Physical Unclonable Function Retrofitted into Embedded SRAM Array," in DAC, 2013.
[165]
W. K. Zuravleff and T. Robinson, "Controller for a Synchronous DRAM that Maximizes Throughput by Allowing Memory Requests and Commands to Be Issued Out of Order," U.S. Patent 5 630 096, 1997.

Cited By

View all
  • (2022)SRAM has no chill: exploiting power domain separation to steal on-chip secretsProceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3503222.3507710(1043-1055)Online publication date: 28-Feb-2022
  • (2021)QUAC-TRNGProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00078(944-957)Online publication date: 14-Jun-2021

Index Terms

  1. CODIC: a low-cost substrate for enabling custom in-DRAM functionalities and optimizations
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISCA '21: Proceedings of the 48th Annual International Symposium on Computer Architecture
      June 2021
      1168 pages
      ISBN:9781450390866

      Sponsors

      In-Cooperation

      • IEEE

      Publisher

      IEEE Press

      Publication History

      Published: 25 November 2021

      Check for updates

      Qualifiers

      • Research-article

      Conference

      ISCA '21
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 543 of 3,203 submissions, 17%

      Upcoming Conference

      ISCA '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)20
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 02 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)SRAM has no chill: exploiting power domain separation to steal on-chip secretsProceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3503222.3507710(1043-1055)Online publication date: 28-Feb-2022
      • (2021)QUAC-TRNGProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00078(944-957)Online publication date: 14-Jun-2021

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media