Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1007/978-3-031-14835-4_15guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Quality of Fault Injection Strategies on Hardware Accelerator

Published: 06 June 2022 Publication History

Abstract

Safety-critical systems require understanding and mitigating the behavior of processors in case of failures. In order to analyze and verify hardware architectures, intensive fault injection campaigns are made. This work focuses on assessing the quality of fault injection strategies. The idea is to identify all failure scenarios associated to a hardware accelerator and estimate the coverage associated to a strategy. We have applied the approach on a leNet5 streaming architecture accelerator.

References

[1]
Abdelouahab, K., Pelcat, M., Serot, J., Berry, F.: Accelerating CNN inference on FPGAs: a survey. arXiv:1806.01683 (2018)
[2]
Abdelouahab, K., Pelcat, M., Serot, J., Bourrasset, C., Berry, F.: Tactics to directly map CNN graphs on embedded FPGAs. IEEE Embed. Syst. Lett. 9, 1–4 (2017)
[3]
Abideen ZU and Rashid M EFIC-ME: a fast emulation based fault injection control and monitoring enhancement IEEE Access 2020 8 207705-207716
[4]
Abraham JA and Fuchs WK Fault and error models for VLSI Proc. IEEE 1986 74 5 639-654
[5]
Avizienis A, Laprie J, Randell B, and Landwehr C Basic concepts and taxonomy of dependable and secure computing IEEE Trans. Depend. Secur. Comput. 2004 1 1 11-33
[6]
Bara, A., Bazargan-Sabet, P., Chevallier, R., Encrenaz, E., Ledu, D., Renault, P.: Formal verification of timed VHDL programs. In: 2010 Forum on Specification & Design Languages (FDL 2010), pp. 1–6. IET (2010)
[7]
Benso, A., Bosio, A., Di Carlo, S., Mariani, R.: A Functional verification based fault injection environment. In: 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), pp. 114–122 (Sep 2007)
[8]
Benso, A., Rebaudengo, M., Impagliazzo, L., Marmo, P.: Fault-list collapsing for fault-injection experiments. In: Annual Reliability and Maintainability Symposium. 1998 Proceedings. International Symposium on Product Quality and Integrity, pp. 383–388, January 1998
[9]
Berrojo, L., et al.: New techniques for speeding-up fault-injection campaigns. In: Automation and Test in Europe Conference and Exhibition Proceedings 2002 Design, pp. 847–852, March 2002
[10]
Berrojo, L., et al.: New techniques for speeding-up fault-injection campaigns. In: Automation and Test in Europe Conference and Exhibition Proceedings 2002 Design, pp. 847–852, March 2002
[11]
Chen J, Lee C, and Shen W Single-fault fault-collapsing analysis in sequential logic circuits IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 1991 10 12 1559-1568
[12]
Chibani, K., Portolan, M., Leveugle, R.: Evaluating application-aware soft error effects in digital circuits without fault injections or probabilistic computations. In: 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), pp. 54–59, July 2016
[13]
Dao AQ, Lin MPH, and Mishchenko A SAT-based fault equivalence checking in functional safety verification IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 2018 37 12 3198-3205
[14]
Ebrahimi, M., Sayed, N., Rashvand, M., Tahoori, M.B.: Fault injection acceleration by architectural importance sampling. In: 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp. 212–219, October 2015
[15]
Eggersglüß S, Schmitz K, Krenz-Bååth R, and Drechsler R On optimization-based ATPG and its application for highly compacted test sets IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 2016 35 12 2104-2117
[16]
Eghbal A, Yaghini PM, Bagherzadeh N, and Khayambashi M Analytical fault tolerance assessment and metrics for TSV-Based 3D network-on-chip IEEE Trans. Comput. 2015 64 12 3591-3604
[17]
Halbwachs N, Caspi P, Raymond P, and Pilaud D The synchronous data flow programming language LUSTRE Proc. IEEE 1991 79 9 1305-1320
[18]
Iturbe, X., Venu, B., Ozer, E., Das, S.: A triple core lock-step (TCLS) ARM® cortex®-R5 processor for safety-critical and ultra-reliable applications. In: 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshop (DSN-W), pp. 246–249 (2016)
[19]
Jeon, S.H., Cho, J.H., Jung, Y., Park, S., Han, T.M.: Automotive hardware development according to ISO 26262. In: 13th International Conference on Advanced Communication Technology (ICACT2011), pp. 588–592, February 2011
[20]
Kloos, C.D., Breuer, P.: Formal semantics for VHDL, vol. 307. Springer, New York (2012).
[21]
Koopman, P.J.: Lost message and system failures. Embed. Syst. Program. 9, 38–52 (1996)
[22]
LeCun Y et al. Backpropagation applied to handwritten zip code recognition Neural Comput. 1989 1 4 541-551
[23]
Lecun Y, Bottou L, Bengio Y, and Haffner P Gradient-based learning applied to document recognition Proc. IEEE 1998 86 11 2278-2324
[24]
Lee EA and Sangiovanni-Vincentelli A A framework for comparing models of computation IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 1998 17 12 1217-1229
[25]
Leveugle, R., Calvez, A., Maistri, P., Vanhauwaert, P.: Statistical fault injection: quantified error and confidence. In: Automation Test in Europe Conference Exhibition 2009 Design, pp. 502–506, April 2009
[26]
Mittal, S.: A survey on modeling and improving reliability of DNN algorithms and accelerators. J. Syst. Architect. 104, 101689 (2020)
[27]
Mukherjee, S., Weaver, C., Emer, J., Reinhardt, S., Austin, T.: A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In: Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36, pp. 29–40, December 2003
[28]
Neggaz, M.A., Alouani, I., Lorenzo, P.R., Niar, S.: A reliability study on CNNs for critical embedded systems. In: 2018 IEEE 36th International Conference on Computer Design (ICCD), pp. 476–479, October 2018
[29]
Reetz, R., Schneider, K., Kropf, T.: Formal specification in VHDL for hardware verification. In: Proceedings Design, Automation and Test in Europe, pp. 257–263. IEEE (1998)
[30]
Salami, B., Unsal, O.S., Kestelman, A.C.: On the resilience of RTL NN accelerators: fault characterization and Mitigation. In: 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), pp. 322–329, September 2018
[31]
Talpes, E., et al.: Compute solution for tesla’s full self-driving computer. IEEE Micro 40(2), 25–35 (2020)
[32]
Tuzov, I., de Andrés, D., Ruiz, J.C.: Accurate robustness assessment of HDL models through iterative statistical fault injection. In: 2018 14th European Dependable Computing Conference (EDCC), pp. 1–8, September 2018
[33]
Villemeur, A.: Reliability, Availability, Maintainability and Safety Assessment, vol. 1. Wiley, Methods and Techniques (1991)

Cited By

View all

Index Terms

  1. Quality of Fault Injection Strategies on Hardware Accelerator
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image Guide Proceedings
        Computer Safety, Reliability, and Security: 41st International Conference, SAFECOMP 2022, Munich, Germany, September 6–9, 2022, Proceedings
        Jun 2022
        382 pages
        ISBN:978-3-031-14834-7
        DOI:10.1007/978-3-031-14835-4

        Publisher

        Springer-Verlag

        Berlin, Heidelberg

        Publication History

        Published: 06 June 2022

        Author Tags

        1. Formal modelling of hardware
        2. Failure modes
        3. Fault injection

        Qualifiers

        • Article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 0
          Total Downloads
        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 07 Mar 2025

        Other Metrics

        Citations

        Cited By

        View all

        View Options

        View options

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media