Nothing Special   »   [go: up one dir, main page]

skip to main content
Skip header Section
Logical Design of Digital SystemsJanuary 1975
Publisher:
  • W. H. Freeman & Co.
  • Subs. of Scientific American, Inc. 41 Madison Avenue, 37th Fl. New York, NY
  • United States
ISBN:978-0-914894-50-6
Published:01 January 1975
Pages:
278
Skip Bibliometrics Section
Reflects downloads up to 26 Sep 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. Corno F and Razzak F (2015). Real-time monitoring of high-level states in smart environments, Journal of Ambient Intelligence and Smart Environments, 7:2, (133-153), Online publication date: 1-Mar-2015.
  2. ACM
    Bernasconi A, Ciriani V and Cordone R An approximation algorithm for fully testable kEP-SOP networks Proceedings of the 17th ACM Great Lakes symposium on VLSI, (417-422)
  3. ACM
    Fallah F Binary time-frame expansion Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (458-464)
  4. ACM
    Fallah F, Devadas S and Keutzer K OCCOM Proceedings of the 35th annual Design Automation Conference, (152-157)
  5. ACM
    Fallah F, Devadas S and Keutzer K Functional vector generation for HDL models using linear programming and 3-satisfiability Proceedings of the 35th annual Design Automation Conference, (528-533)
  6. Kim V and Chen T Assessing SRAM test coverage for sub-micron CMOS technologies Proceedings of the 15th IEEE VLSI Test Symposium
  7. Swamy G Formal Verification of Digital Systems Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  8. Wahba A and Borrione D Automatic diagnosis may replace simulation for correcting simple design errors Proceedings of the conference on European design automation, (476-481)
  9. Elayeb B and Wang S (2019). Abduction-Based Diagnosis, Journal of Parallel and Distributed Computing, 24:2, (202-212), Online publication date: 1-Feb-1995.
  10. Marchok T, El-Maleh A, Maly W and Rajski J Complexity of sequential ATPG Proceedings of the 1995 European conference on Design and Test
  11. Bruls E Variable supply voltage testing for analogue CMOS and bipolar circuits Proceedings of the 1994 international conference on Test, (562-571)
  12. Mueller-Thuns R, Saab D, Damiano R and Abraham J (1993). Benchmarking Parallel Processing Platforms, IEEE Transactions on Parallel and Distributed Systems, 4:8, (947-954), Online publication date: 1-Aug-1993.
  13. Pomeranz I and Reddy S (2019). Classification of Faults in Synchronous Sequential Circuits, IEEE Transactions on Computers, 42:9, (1066-1077), Online publication date: 1-Sep-1993.
  14. Rangarajan S, Fussell D and Malek M (1990). Built-In Testing of Integrated Circuit Wafers, IEEE Transactions on Computers, 39:2, (195-205), Online publication date: 1-Feb-1990.
  15. Chakravarty S and Hunt H (1990). On Computing Signal Probability and Detection Probability of Stuck-At Faults, IEEE Transactions on Computers, 39:11, (1369-1377), Online publication date: 1-Nov-1990.
  16. Lombardi F and Huang W (2019). Fault Detection and Design Complexity in C-Testable VLSI Arrays, IEEE Transactions on Computers, 39:12, (1477-1481), Online publication date: 1-Dec-1990.
  17. Roy K and Abraham J High level test generation using data flow descriptions Proceedings of the conference on European design automation, (480-484)
  18. Boute R (2019). Representational and Denotational Semantics of Digital Systems, IEEE Transactions on Computers, 38:7, (986-999), Online publication date: 1-Jul-1989.
  19. Ghosh S and Yu M (2019). A Preemptive Scheduling Mechanism for Accurate Behavioral Simulation of Digital Designs, IEEE Transactions on Computers, 38:11, (1595-1600), Online publication date: 1-Nov-1989.
  20. ACM
    Ishiura N, Takahashi M and Yajima S Time-symbolic simulation for accurate timing verification of asynchronous behavior of logic circuits Proceedings of the 26th ACM/IEEE Design Automation Conference, (497-502)
  21. Akers S and Krishnamurthy B (1989). Test Counting, IEEE Design & Test, 6:5, (58-77), Online publication date: 1-Sep-1989.
  22. Ferguson F and Shen J Extraction and simulation of realistic CMOS faults using inductive fault analysis Proceedings of the 1988 international conference on Test: new frontiers in testing, (475-484)
  23. Iyengar V, Rosen B and Spillinger I Delay test generation 2 Proceedings of the 1988 international conference on Test: new frontiers in testing, (867-876)
  24. Abramovici M, Krishnamurthy B, Mathews R, Rogers B, Schulz M, Seth S and Waicukauski J What is the path to fast fault simulation? Proceedings of the 1988 international conference on Test: new frontiers in testing, (183-192)
  25. Kirkland T and Mercer M (1988). Algorithms for Automatic Test-Pattern Generation, IEEE Design & Test, 5:3, (43-55), Online publication date: 1-May-1988.
  26. Gaede R, Ross D, Mercer M and Butler K CATAPULT Proceedings of the 25th ACM/IEEE Design Automation Conference, (597-600)
  27. Choi K, Hwang S and Blank T Incremental-in-time algorithm for digital simulation Proceedings of the 25th ACM/IEEE Design Automation Conference, (501-505)
  28. Abadir M and Reghbati H (1986). Functional Test Generation for Digital Circuits Described Using Binary Decision Diagrams, IEEE Transactions on Computers, 35:4, (375-379), Online publication date: 1-Apr-1986.
  29. Ahuja S and Reggia J Using abductive inferencing to derive complex error classifications for discrete sequential processes Proceedings of the 19th annual symposium on Simulation, (207-225)
  30. Mourad S, Hughes J and McCluskey E Stuck-at fault detection in parity trees Proceedings of 1986 ACM Fall joint computer conference, (836-840)
  31. ACM
    Rogers W and Abraham J High level hierarchical fault simulation techniques Proceedings of the 1985 ACM thirteenth annual conference on Computer Science, (89-97)
  32. Shteingart S, Nagle A and Grason J RTG Proceedings of the 22nd ACM/IEEE Design Automation Conference, (803-807)
  33. Miller D and Muzio J (1984). Spectral Fault Signatures for Single Stuck-At Faults in Combinational Networks, IEEE Transactions on Computers, 33:8, (765-769), Online publication date: 1-Aug-1984.
  34. Melgara M, Paolini M, Roncella R and Morpurgo S CVT-FERT Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production, (250-256)
  35. Kinoshita K and Saluja K Built-in testing of memory using on-chip compact testing scheme Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production, (271-281)
  36. Paulson C Classes of diagnostic tests Proceedings of the 20th Design Automation Conference, (316-322)
  37. ACM
    Abramovici M, Levendel Y and Menon P (2019). A logic simulation machine, ACM SIGARCH Computer Architecture News, 10:3, (148-157), Online publication date: 1-Apr-1982.
  38. Abramovici M, Levendel Y and Menon P A logic simulation machine Proceedings of the 19th Design Automation Conference, (65-73)
  39. Hayes J A fault simulation methodology for VLSI Proceedings of the 19th Design Automation Conference, (393-399)
  40. Abramovici M, Levendel Y and Menon P A logic simulation machine Proceedings of the 9th annual symposium on Computer Architecture, (148-157)
  41. Agrawal V, Seth S and Agrawal P LSI product quality and fault coverage Proceedings of the 18th Design Automation Conference, (196-203)
  42. Abraham J and Gajski D (1981). Design of Testable Structures Defined by Simple Loops, IEEE Transactions on Computers, 30:11, (875-884), Online publication date: 1-Nov-1981.
  43. Holt D and Hutchings D A MOS/LSI oriented logic simulator Proceedings of the 18th Design Automation Conference, (280-287)
  44. ACM
    Ulrich E, Lacy D, Phillips N, Tellier J, Kearney M, Elkind T and Beaven R High-speed concurrent fault simulation with vectors and scalars Proceedings of the 17th Design Automation Conference, (374-380)
  45. ACM
    Abramovici M and Breuer M Fault diagnosis based on effect-cause analysis Proceedings of the 17th Design Automation Conference, (69-76)
  46. ACM
    Bowyer K and Marinos P Proposal For A Shared Resource Computing Utility Proceedings of the 1978 annual conference, (351-356)
  47. Malek M and Bose A Functional simulation and fault diagnosis Proceedings of the 15th Design Automation Conference, (340-346)
  48. Smith J and Dussault J Fault secure multiple-valued logic networks Proceedings of the eighth international symposium on Multiple-valued logic, (287-297)
  49. Karunanithi S and Friedman A (1978). Some New Types of Logical Completeness, IEEE Transactions on Computers, 27:11, (998-1005), Online publication date: 1-Nov-1978.
Contributors
  • The George Washington University
Please enable JavaScript to view thecomments powered by Disqus.

Recommendations