Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/517554.825774acmconferencesArticle/Chapter ViewAbstractPublication PagespactConference Proceedingsconference-collections
Article

Instruction Scheduling for Clustered VLIW DSPs

Published: 15 October 2000 Publication History

Abstract

Recent digital signal processors (DSPs) show a homogeneous VLIW-like data path architecture, which allows C compilers to generate efficient code. However, still some special restrictions have to be obeyed in code generation for VLIW DSPs. In order to reduce the number of register file ports needed to provide data for multiple functional units working in parallel, the DSP data path may be clustered into several sub-paths, with very limited capabilities of exchanging values between the different clusters. An example is the well-known Texas Instruments C6201 DSP. For such architecture, the tasks of scheduling and partitioning instructions between the clusters are highly interdependent. This paper presents a new instruction scheduling approach, which in contrast to earlier work, integrates partitioning and scheduling into a single technique, to achieve a high code quality. We show experimentally that the proposed technique is capable of generating more efficient code than a commercial code generator for the TI C6201.

Cited By

View all
  • (2014)Heuristics for greedy transport triggered architecture interconnect explorationProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656123(1-7)Online publication date: 12-Oct-2014
  • (2014)Register spilling via transformed interference equations for PAC DSP architectureConcurrency and Computation: Practice & Experience10.1002/cpe.305126:3(779-799)Online publication date: 10-Mar-2014
  • (2013)A constraint programming approach for integrated spatial and temporal scheduling for clustered architecturesACM Transactions on Embedded Computing Systems10.1145/251247013:1(1-23)Online publication date: 5-Sep-2013
  • Show More Cited By
  1. Instruction Scheduling for Clustered VLIW DSPs

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    PACT '00: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques
    October 2000
    ISBN:0769506224

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 15 October 2000

    Check for updates

    Qualifiers

    • Article

    Conference

    PACT00
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 121 of 471 submissions, 26%

    Upcoming Conference

    PACT '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 02 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2014)Heuristics for greedy transport triggered architecture interconnect explorationProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656123(1-7)Online publication date: 12-Oct-2014
    • (2014)Register spilling via transformed interference equations for PAC DSP architectureConcurrency and Computation: Practice & Experience10.1002/cpe.305126:3(779-799)Online publication date: 10-Mar-2014
    • (2013)A constraint programming approach for integrated spatial and temporal scheduling for clustered architecturesACM Transactions on Embedded Computing Systems10.1145/251247013:1(1-23)Online publication date: 5-Sep-2013
    • (2012)WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architectureACM SIGPLAN Notices10.1145/2345141.224842447:5(31-40)Online publication date: 12-Jun-2012
    • (2012)WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architectureProceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems10.1145/2248418.2248424(31-40)Online publication date: 12-Jun-2012
    • (2012)Integrated Code Generation for LoopsACM Transactions on Embedded Computing Systems10.1145/2180887.218089611S:1(1-24)Online publication date: 1-Jun-2012
    • (2012)Feedback-Based global instruction scheduling for GPGPU applicationsProceedings of the 12th international conference on Computational Science and Its Applications - Volume Part I10.1007/978-3-642-31125-3_2(15-28)Online publication date: 18-Jun-2012
    • (2011)An efficient heuristic for instruction scheduling on clustered vliw processorsProceedings of the 14th international conference on Compilers, architectures and synthesis for embedded systems10.1145/2038698.2038707(35-44)Online publication date: 9-Oct-2011
    • (2010)A Novel instruction stream buffer for VLIW architecturesComputers and Electrical Engineering10.1016/j.compeleceng.2009.08.00636:1(190-198)Online publication date: 1-Jan-2010
    • (2008)Optimal vs. heuristic integrated code generation for clustered VLIW architecturesProceedings of the 11th international workshop on Software & compilers for embedded systems10.1145/1361096.1361099(11-20)Online publication date: 13-Mar-2008
    • Show More Cited By

    View Options

    View options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media