Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/3130379.3130508guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article
Free access

Architectural evaluations on TSV redundancy for reliability enhancement

Published: 27 March 2017 Publication History

Abstract

Three-dimensional Integrated Circuits (3D-ICs) is a next-generation technology that could be a solution to overcome the scaling problem. It stacks dies with Through-Silicon Vias (TSVs) so that signals can be transmitted through dies vertically. However, researchers have noticed that the aging effect due to the electormigration (EM) may result in faulty TSVs and affect the chip lifetime [1]. Several redundant TSV architectures have been proposed to address this issue. By replacing the faulty TSV with redundant TSVs which are added at design time, chips can achieve better reliability and longer lifetime. In this paper, we will study the tradeoff of various redundant TSV architectures in terms of effectiveness and cost. To allow the measurement of reliability more realistically, we propose a new standard, repair rate, to appraise the redundant TSV architectures. Moreover, to design a more flexible and efficient structure, we enhance the ring-based design [2] that can adjust the size of the TSV block and TSV redundancy.

References

[1]
L. Jiang, F. Ye, Q. Xu, K. Chakrabarty, and B. Eklow, "On effective and efficient in-field TSV repair for stacked 3D ics," in The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 74:1--74:6, ACM, 2013.
[2]
W. Lo, K. Chi, and T. Hwang, "Architecture of ring-based redundant TSV for clustered faults," in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9--13, 2015 (W. Nebel and D. Atienza, eds.), pp. 848--853, ACM, 2015.
[3]
S.-K. Ryu, K.-H. Lu, X. Zhang, J.-H. Im, P. S. Ho, and R. Huang, "Impact of near-surface thermal stresses on interfacial reliability of through-silicon vias for 3-d interconnects," Device and Materials Reliability, IEEE Transactions on, vol. 11, no. 1, pp. 35--43, 2011.
[4]
Y. C. Tan, C. M. Tan, X. Zhang, T. C. Chai, and D. Q. Yu, "Electromigration performance of through silicon via (TSV) - A modeling approach," Microelectronics Reliability, vol. 50, no. 9--11, pp. 1336--1340, 2010.
[5]
M. Pathak, J. Pak, D. Z. Pan, and S. K. Lim, "Electromigration modeling and full-chip reliability analysis for BEOL interconnect in tsv-based 3d ics," in 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011, San Jose, California, USA, November 7--10, 2011 (J. R. Phillips, A. J. Hu, and H. Graeb, eds.), pp. 555--562, IEEE Computer Society, 2011.
[6]
T. Frank, S. Moreau, C. Chappaz, L. Arnaud, P. Leduc, A. Thuaire, and L. Anghel, "Electromigration behavior of 3d-ic tsv interconnects," in Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd, pp. 326--330, IEEE, 2012.
[7]
A. Hsieh and T. Hwang, "TSV redundancy: Architecture and design issues in 3-d IC," IEEE Trans. VLSI Syst., vol. 20, no. 4, pp. 711--722, 2012.
[8]
U. Kang, H. Chung, S. Heo, D. Park, H. Lee, J. H. Kim, S. Ahn, S. Cha, J. Ahn, D. Kwon, J. Lee, H. Joo, W. Kim, D. H. Jang, N. Kim, J. Choi, T. Chung, J. Yoo, J. Choi, C. Kim, and Y. Jun, "8 gb 3-d DDR3 DRAM using through-silicon-via technology," J. Solid-State Circuits, vol. 45, no. 1, pp. 111--119, 2010.
[9]
L. Jiang, Q. Xu, and B. Eklow, "On effective TSV repair for 3d-stacked ics," in 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12--16, 2012 (W. Rosenstiel and L. Thiele, eds.), pp. 793--798, IEEE, 2012.
[10]
T. Frank, C. Chappaz, P. Leduc, L. Arnaud, S. Moreau, A. Thuaire, R. El Farhane, F. Lorut, and L. Anghel, "Resistance increase due to electromigration induced depletion under tsv," in IEEE International Reliability Physics Symposium (IRPS'11), Monterey, CA, USA, April 10--14, pp. 3F-4, IEEE Computer Society, 2011.
[11]
F. Ye and K. Chakrabarty, "TSV open defects in 3d integrated circuits: characterization, test, and optimal spare allocation," in The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3--7, 2012 (P. Groeneveld, D. Sciuto, and S. Hassoun, eds.), pp. 1024--1030, ACM, 2012.
[12]
Nangate, ""the nategate 45nm open cell library"." http://www.nangate.com/.
[13]
F. Chen, H. Ting, and T. Hwang, "Fault-tolerant TSV by using scan-chain test TSV," in 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20--23, 2014, pp. 658--663, IEEE, 2014.

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Guide Proceedings
DATE '17: Proceedings of the Conference on Design, Automation & Test in Europe
March 2017
1814 pages

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 27 March 2017

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 58
    Total Downloads
  • Downloads (Last 12 months)11
  • Downloads (Last 6 weeks)1
Reflects downloads up to 24 Nov 2024

Other Metrics

Citations

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media