• Chen J, Manivannan M, Goel B and Pericàs M. (2024). SWEEP: Adaptive Task Scheduling for Exploring Energy Performance Trade-offs 2024 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS57955.2024.00036. 979-8-3503-8711-7. (325-336).

    https://ieeexplore.ieee.org/document/10579259/

  • Chen J, Manivannan M, Goel B and Pericàs M. JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency. Proceedings of the 52nd International Conference on Parallel Processing. (828-838).

    https://doi.org/10.1145/3605573.3605586

  • Oliveira G, Ghose S, Gómez-Luna J, Boroumand A, Savery A, Rao S, Qazi S, Grignou G, Thakur R, Shiu E and Mutlu O. Extending Memory Capacity in Modern Consumer Systems With Emerging Non-Volatile Memory: Experimental Analysis and Characterization Using the Intel Optane SSD. IEEE Access. 10.1109/ACCESS.2023.3317884. 11. (105843-105871).

    https://ieeexplore.ieee.org/document/10258271/

  • Mutlu O, Ghose S, Gómez-Luna J and Ausavarungnirun R. (2023). A Modern Primer on Processing in Memory. Emerging Computing: From Devices to Systems. 10.1007/978-981-16-7487-7_7. (171-243).

    https://link.springer.com/10.1007/978-981-16-7487-7_7

  • Antoniou G, Volos H, Bartolini D, Rollet T, Sazeides Y and Yahya J. AgilePkgC: An Agile System Idle State Architecture for Energy Proportional Datacenter Servers. Proceedings of the 55th Annual IEEE/ACM International Symposium on Microarchitecture. (851-867).

    https://doi.org/10.1109/MICRO56248.2022.00065

  • Yahya J, Volos H, Bartolini D, Antoniou G, Kim J, Wang Z, Kalaitzidis K, Rollet T, Chen Z, Geng Y, Mutlu O and Sazeides Y. AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications. Proceedings of the 55th Annual IEEE/ACM International Symposium on Microarchitecture. (835-850).

    https://doi.org/10.1109/MICRO56248.2022.00063

  • Yaglikci A, Luo H, De Oliviera G, Olgun A, Patel M, Park J, Hassan H, Kim J, Orosa L and Mutlu O. (2022). Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). 10.1109/DSN53405.2022.00054. 978-1-6654-1693-1. (475-487).

    https://ieeexplore.ieee.org/document/9833679/

  • Zhan Z, Zhang Z, Liang S, Yao F and Koutsoukos X. (2022). Graphics Peeping Unit: Exploiting EM Side-Channel Information of GPUs to Eavesdrop on Your Neighbors 2022 IEEE Symposium on Security and Privacy (SP). 10.1109/SP46214.2022.9833773. 978-1-6654-1316-9. (1440-1457).

    https://ieeexplore.ieee.org/document/9833773/

  • Muralidhar R, Borovica-Gajic R and Buyya R. (2022). Energy Efficient Computing Systems: Architectures, Abstractions and Modeling to Techniques and Standards. ACM Computing Surveys. 54:11s. (1-37). Online publication date: 31-Jan-2022.

    https://doi.org/10.1145/3511094

  • Gomez-Luna J, Hajj I, Fernandez I, Giannoula C, Oliveira G and Mutlu O. Benchmarking a New Paradigm: Experimental Analysis and Characterization of a Real Processing-in-Memory System. IEEE Access. 10.1109/ACCESS.2022.3174101. 10. (52565-52608).

    https://ieeexplore.ieee.org/document/9771457/

  • Gomez-Luna J, El Hajj I, Fernandez I, Giannoula C, Oliveira G and Mutlu O. (2021). Benchmarking Memory-Centric Computing Systems: Analysis of Real Processing-In-Memory Hardware 2021 12th International Green and Sustainable Computing Conference (IGSC). 10.1109/IGSC54211.2021.9651614. 978-1-6654-7851-9. (1-7).

    https://ieeexplore.ieee.org/document/9651614/

  • Orosa L, Wang Y, Sadrosadati M, Kim J, Patel M, Puddu I, Luo H, Razavi K, Gomez-Luna J, Hassan H, Mansouri-Ghiasi N, Ghose S and Mutlu O. (2021). CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00045. 978-1-6654-3333-4. (484-497).

    https://ieeexplore.ieee.org/document/9499751/

  • Mutlu O. (2021). Intelligent Architectures for Intelligent Computing Systems 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE51398.2021.9474073. 978-3-9819263-5-4. (318-323).

    https://ieeexplore.ieee.org/document/9474073/

  • Nabavi Larimi S, Salami B, Unsal O, Kestelman A, Sarbazi-Azad H and Mutlu O. (2021). Understanding Power Consumption and Reliability of High-Bandwidth Memory with Voltage Underscaling 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE51398.2021.9474024. 978-3-9819263-5-4. (517-522).

    https://ieeexplore.ieee.org/document/9474024/

  • Song S, Das A, Mutlu O and Kandasamy N. Aging-Aware Request Scheduling for Non-Volatile Main Memory. Proceedings of the 26th Asia and South Pacific Design Automation Conference. (657-664).

    https://doi.org/10.1145/3394885.3431529

  • Oliveira G, Gomez-Luna J, Orosa L, Ghose S, Vijaykumar N, Fernandez I, Sadrosadati M and Mutlu O. DAMOV: A New Methodology and Benchmark Suite for Evaluating Data Movement Bottlenecks. IEEE Access. 10.1109/ACCESS.2021.3110993. 9. (134457-134502).

    https://ieeexplore.ieee.org/document/9530719/

  • Narayanan I and Sivasubramaniam A. (2020). Mediating Power Struggles on a Shared Server 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS48437.2020.00030. 978-1-7281-4798-7. (149-159).

    https://ieeexplore.ieee.org/document/9238623/

  • Haj-Yahya J, Alser M, Kim J, Yağlıkçı A, Vijaykumar N, Rotem E and Mutlu O. SysScale. Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture. (227-240).

    https://doi.org/10.1109/ISCA45697.2020.00029

  • Haj-Yahya J, Sazeides Y, Alser M, Rotem E and Mutlu O. (2020). Techniques for Reducing the Connected-Standby Energy Consumption of Mobile Devices 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA47549.2020.00057. 978-1-7281-6149-5. (623-636).

    https://ieeexplore.ieee.org/document/9065420/

  • Marino M. Walter: Wide I/O Scaling of Number of Memory Controllers Versus Frequency and Voltage. IEEE Access. 10.1109/ACCESS.2020.3033453. 8. (193874-193889).

    https://ieeexplore.ieee.org/document/9237986/

  • Koppula S, Orosa L, Yağlıkçı A, Azizi R, Shahroodi T, Kanellopoulos K and Mutlu O. EDEN. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (166-181).

    https://doi.org/10.1145/3352460.3358280

  • Kommareddy V, Hammond S, Hughes C, Samih A and Awad A. Page migration support for disaggregated non-volatile memories. Proceedings of the International Symposium on Memory Systems. (417-427).

    https://doi.org/10.1145/3357526.3357543

  • Radulovic M, Sánchez Verdejo R, Carpenter P, Radojković P, Jacob B and Ayguadé E. (2019). PROFET. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 3:2. (1-33). Online publication date: 19-Jun-2019.

    https://doi.org/10.1145/3341617.3326149

  • Usman M, Ismail A, Abdul-Salaam G, Chizari H, Kaiwartya O, Gital A, Abdullahi M, Aliyu A and Dishing S. (2019). Energy-efficient Nature-Inspired techniques in Cloud computing datacenters. Telecommunications Systems. 71:2. (275-302). Online publication date: 1-Jun-2019.

    https://doi.org/10.1007/s11235-019-00549-9

  • Jia G, Han G, Rodrigues J, Lloret J and Li W. Coordinate Memory Deduplication and Partition for Improving Performance in Cloud Computing. IEEE Transactions on Cloud Computing. 10.1109/TCC.2015.2511738. 7:2. (357-368).

    https://ieeexplore.ieee.org/document/7364233/

  • Sadrosadati M, Ehsani S, Falahati H, Ausavarungnirun R, Tavakkol A, Abaee M, Orosa L, Wang Y, Sarbazi-Azad H and Mutlu O. (2019). ITAP. ACM Transactions on Architecture and Code Optimization. 16:1. (1-26). Online publication date: 8-Mar-2019.

    https://doi.org/10.1145/3291606

  • Ghose S, Yaglikçi A, Gupta R, Lee D, Kudrolli K, Liu W, Hassan H, Chang K, Chatterjee N, Agrawal A, O'Connor M and Mutlu O. (2018). What Your DRAM Power Models Are Not Telling You. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 2:3. (1-41). Online publication date: 21-Dec-2018.

    https://doi.org/10.1145/3224419

  • Kannan S, Gavrilovska A, Gupta V and Schwan K. (2018). HeteroOS. ACM SIGOPS Operating Systems Review. 52:1. (13-26). Online publication date: 28-Aug-2018.

    https://doi.org/10.1145/3273982.3273985

  • Zhou K, Liu W, Tang K, Huang P and He X. Alleviating Memory Refresh Overhead via Data Compression for High Performance and Energy Efficiency. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2017.2763141. 29:7. (1469-1483).

    https://ieeexplore.ieee.org/document/8068250/

  • Hosseini Shirvani M, Rahmani A and Sahafi A. (2018). A survey study on virtual machine migration and server consolidation techniques in DVFS-enabled cloud datacenter: Taxonomy and challenges. Journal of King Saud University - Computer and Information Sciences. 10.1016/j.jksuci.2018.07.001. Online publication date: 1-Jul-2018.

    https://linkinghub.elsevier.com/retrieve/pii/S1319157818302842

  • Jia G, Han G, Jiang J, Chan S and Liu Y. (2018). Dynamic cloud resource management for efficient media applications in mobile computing environments. Personal and Ubiquitous Computing. 22:3. (561-573). Online publication date: 1-Jun-2018.

    https://doi.org/10.1007/s00779-018-1118-5

  • Chandrasekharan S and Gniady C. QAMEM. Proceedings of the 18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing. (412-421).

    https://doi.org/10.1109/CCGRID.2018.00068

  • Marino M and Li K. RAMON: Region-Aware Memory Controller. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2018.2789520. 26:4. (697-710).

    http://ieeexplore.ieee.org/document/8260852/

  • Marino M, Weng T and Li K. (2017). Exploiting dynamic transaction queue size in scalable memory systems. Soft Computing. 10.1007/s00500-016-2470-x. 22:6. (2065-2077). Online publication date: 1-Mar-2018.

    http://link.springer.com/10.1007/s00500-016-2470-x

  • Chen S, Peng L, Irving S, Zhao Z, Zhang W and Srivastava A. qSwitch: Dynamical Off-Chip Bandwidth Allocation Between Local and Remote Accesses. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2017.2705154. 37:1. (75-87).

    http://ieeexplore.ieee.org/document/7930422/

  • Jia G, Han G, Li A and Lloret J. Coordinate Channel-Aware Page Mapping Policy and Memory Scheduling for Reducing Memory Interference Among Multimedia Applications. IEEE Systems Journal. 10.1109/JSYST.2015.2430522. 11:4. (2839-2851).

    http://ieeexplore.ieee.org/document/7114173/

  • Bhattacharjee A. Using branch predictors to predict brain activity in brain-machine implants. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (409-422).

    https://doi.org/10.1145/3123939.3123943

  • Liu Y, Cox G, Deng Q, Draper S and Bianchini R. (2017). Fast Power and Energy Management for Future Many-Core Systems. ACM Transactions on Modeling and Performance Evaluation of Computing Systems. 2:3. (1-31). Online publication date: 30-Sep-2017.

    https://doi.org/10.1145/3086504

  • Kannan S, Gavrilovska A, Gupta V and Schwan K. (2017). HeteroOS. ACM SIGARCH Computer Architecture News. 45:2. (521-534). Online publication date: 14-Sep-2017.

    https://doi.org/10.1145/3140659.3080245

  • Sharifi A, Ding W, Guttman D, Zhao H, Tang X, Kandemir M and Das C. (2017). DEMM: A Dynamic Energy-Saving Mechanism for Multicore Memories 2017 IEEE 25th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS). 10.1109/MASCOTS.2017.16. 978-1-5386-2764-8. (210-220).

    http://ieeexplore.ieee.org/document/8107447/

  • Li B, León E and Cameron K. COS. Proceedings of the 26th International Symposium on High-Performance Parallel and Distributed Computing. (155-166).

    https://doi.org/10.1145/3078597.3078601

  • Kannan S, Gavrilovska A, Gupta V and Schwan K. HeteroOS. Proceedings of the 44th Annual International Symposium on Computer Architecture. (521-534).

    https://doi.org/10.1145/3079856.3080245

  • Chang K, Yağlıkçı A, Ghose S, Agrawal A, Chatterjee N, Kashyap A, Lee D, O'Connor M, Hassan H and Mutlu O. (2017). Understanding Reduced-Voltage Operation in Modern DRAM Devices. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 1:1. (1-42). Online publication date: 13-Jun-2017.

    https://doi.org/10.1145/3084447

  • Tan L, DeBardeleben N, Guan Q, Blanchard S and Lang M. (2017). RSVP: Soft Error Resilient Power Savings at Near-Threshold Voltage Using Register Vulnerability 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshop (DSN-W). 10.1109/DSN-W.2017.19. 978-1-5386-2272-8. (91-98).

    http://ieeexplore.ieee.org/document/8023703/

  • Bhattacharjee A. (2017). Translation-Triggered Prefetching. ACM SIGPLAN Notices. 52:4. (63-76). Online publication date: 12-May-2017.

    https://doi.org/10.1145/3093336.3037705

  • Bhattacharjee A. (2017). Translation-Triggered Prefetching. ACM SIGARCH Computer Architecture News. 45:1. (63-76). Online publication date: 11-May-2017.

    https://doi.org/10.1145/3093337.3037705

  • Bhattacharjee A. (2017). Translation-Triggered Prefetching. ACM SIGOPS Operating Systems Review. 10.1145/3093315.3037705. 51:2. (63-76). Online publication date: 4-Apr-2017.

    http://dl.acm.org/citation.cfm?doid=3093315.3037705

  • Bhattacharjee A. Translation-Triggered Prefetching. Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems. (63-76).

    https://doi.org/10.1145/3037697.3037705

  • Hsu C, Zhang Y, Laurenzano M, Meisner D, Wenisch T, Dreslinski R, Mars J and Tang L. (2017). Reining in Long Tails in Warehouse-Scale Computers with Quick Voltage Boosting Using Adrenaline. ACM Transactions on Computer Systems. 35:1. (1-33). Online publication date: 28-Feb-2017.

    https://doi.org/10.1145/3054742

  • Hassan H, Vijaykumar N, Khan S, Ghose S, Chang K, Pekhimenko G, Lee D, Ergin O and Mutlu O. (2017). SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies 2017 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA.2017.62. 978-1-5090-4985-1. (241-252).

    http://ieeexplore.ieee.org/document/7920829/

  • Liu Q, Moreto M, Abella J, Cazorla F and Valero M. (2016). DReAM. ACM Transactions on Design Automation of Electronic Systems. 22:1. (1-26). Online publication date: 31-Jan-2017.

    https://doi.org/10.1145/2939370

  • Piraghaj S, Dastjerdi A, Calheiros R and Buyya R. (2017). A Survey and Taxonomy of Energy Efficient Resource Management Techniques in Platform as a Service Cloud. Handbook of Research on End-to-End Cloud Computing Architecture Design. 10.4018/978-1-5225-0759-8.ch017. (410-454).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-5225-0759-8.ch017

  • Jang J and Park M. (2017). DRAM frequency scaling for energy efficiency based on memory usage 2017 IEEE International Conference on Consumer Electronics (ICCE). 10.1109/ICCE.2017.7889331. 978-1-5090-5544-9. (308-309).

    http://ieeexplore.ieee.org/document/7889331/

  • Chen J, Tan L, Wu P, Tao D, Li H, Liang X, Li S, Ge R, Bhuyan L and Chen Z. GreenLA. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-11).

    /doi/10.5555/3014904.3014981

  • Chen J, Tan L, Wu P, Tao D, Li H, Liang X, Li S, Ge R, Bhuyan L and Chen Z. (2016). GreenLA: Green Linear Algebra Software for GPU-accelerated Heterogeneous Computing SC16: International Conference for High Performance Computing, Networking, Storage and Analysis. 10.1109/SC.2016.56. 978-1-4673-8815-3. (667-677).

    http://ieeexplore.ieee.org/document/7877135/

  • Santriaji M and Hoffmann H. GRAPE. The 49th Annual IEEE/ACM International Symposium on Microarchitecture. (1-13).

    /doi/10.5555/3195638.3195657

  • Zhou Y, Hoffmann H and Wentzlaff D. (2016). CASH. ACM SIGARCH Computer Architecture News. 44:3. (682-694). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001209

  • Wong D. (2016). Peak efficiency aware scheduling for highly energy proportional servers. ACM SIGARCH Computer Architecture News. 44:3. (481-492). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001188

  • Santriaji M and Hoffmann H. (2016). GRAPE: Minimizing energy for GPU applications with performance requirements 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO.2016.7783719. 978-1-5090-3508-3. (1-13).

    http://ieeexplore.ieee.org/document/7783719/

  • Won J, Gratz P, Shakkottai S and Hu J. (2016). Resource Sharing Centric Dynamic Voltage and Frequency Scaling for CMP Cores, Uncore, and Memory. ACM Transactions on Design Automation of Electronic Systems. 21:4. (1-25). Online publication date: 22-Sep-2016.

    https://doi.org/10.1145/2897394

  • V R and L G. (2016). Power and Performance Modeling of Scientific Applications for Energy Optimization in High Performance Computing 2016 22nd Annual International Conference on Advanced Computing and Communication (ADCOM). 10.1109/ADCOM.2016.12. 978-1-5386-2389-3. (15-20).

    https://ieeexplore.ieee.org/document/8385597/

  • Jia G, Shi L, Li X and Dai D. (2016). PUMA. Journal of Signal Processing Systems. 84:1. (139-150). Online publication date: 1-Jul-2016.

    https://doi.org/10.1007/s11265-015-1015-3

  • Zhou Y, Hoffmann H and Wentzlaff D. CASH. Proceedings of the 43rd International Symposium on Computer Architecture. (682-694).

    https://doi.org/10.1109/ISCA.2016.65

  • Wong D. Peak efficiency aware scheduling for highly energy proportional servers. Proceedings of the 43rd International Symposium on Computer Architecture. (481-492).

    https://doi.org/10.1109/ISCA.2016.49

  • Cota E, Mantovani P and Carloni L. Exploiting Private Local Memories to Reduce the Opportunity Cost of Accelerator Integration. Proceedings of the 2016 International Conference on Supercomputing. (1-12).

    https://doi.org/10.1145/2925426.2926258

  • Zhan X, Shoaib M and Reda S. Creating soft heterogeneity in clusters through firmware re-configuration. Proceedings of the 16th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing. (540-549).

    https://doi.org/10.1109/CCGrid.2016.92

  • Marino M and Li K. (2016). Implications of shallower memory controller transaction queues in scalable memory systems. The Journal of Supercomputing. 72:5. (1785-1798). Online publication date: 1-May-2016.

    https://doi.org/10.1007/s11227-015-1485-x

  • Chen Y, Yang C, Lin P and Lu Y. (2016). Opportunities of synergistically adjusting voltage-frequency levels of cores and DRAMs in CMPs with 3d-stacked DRAMs for efficient thermal control. ACM SIGAPP Applied Computing Review. 16:1. (26-35). Online publication date: 14-Apr-2016.

    https://doi.org/10.1145/2924715.2924718

  • Gottscho M, Govindan S, Sharma B, Shoaib M and Gupta P. (2016). X-Mem: A cross-platform and extensible memory characterization tool for the cloud 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2016.7482101. 978-1-5090-1953-3. (263-273).

    http://ieeexplore.ieee.org/document/7482101/

  • Liu Y, Cox G, Deng Q, Draper S and Bianchini R. (2016). FastCap: An efficient and fair algorithm for power capping in many-core systems 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2016.7482074. 978-1-5090-1953-3. (57-68).

    http://ieeexplore.ieee.org/document/7482074/

  • Subramanya S, Mustafa Z, Irwin D and Shenoy P. Beyond Energy-Efficiency. Proceedings of the 7th ACM/SPEC on International Conference on Performance Engineering. (185-196).

    https://doi.org/10.1145/2851553.2851556

  • Khatib M and Bandic Z. PCAP. Proceedings of the 14th Usenix Conference on File and Storage Technologies. (227-240).

    /doi/10.5555/2930583.2930600

  • Lee B. (2016). Datacenter Design and Management: A Computer Architect's Perspective. Synthesis Lectures on Computer Architecture. 10.2200/S00693ED1V01Y201601CAC037. 11:1. (1-121). Online publication date: 5-Feb-2016.

    http://www.morganclaypool.com/doi/10.2200/S00693ED1V01Y201601CAC037

  • Lee D, Ghose S, Pekhimenko G, Khan S and Mutlu O. (2016). Simultaneous Multi-Layer Access. ACM Transactions on Architecture and Code Optimization. 12:4. (1-29). Online publication date: 7-Jan-2016.

    https://doi.org/10.1145/2832911

  • Rahmati A, Hicks M, Holcomb D and Fu K. (2015). Probable cause. ACM SIGARCH Computer Architecture News. 43:3S. (604-615). Online publication date: 4-Jan-2016.

    https://doi.org/10.1145/2872887.2750419

  • Paul I, Huang W, Arora M and Yalamanchili S. (2015). Harmonia. ACM SIGARCH Computer Architecture News. 43:3S. (54-65). Online publication date: 4-Jan-2016.

    https://doi.org/10.1145/2872887.2750404

  • Nachiappan N, Zhang H, Ryoo J, Soundararajan N, Sivasubramaniam A, Kandemir M, Iyer R and Das C. (2015). VIP. ACM SIGARCH Computer Architecture News. 43:3S. (655-667). Online publication date: 4-Jan-2016.

    https://doi.org/10.1145/2872887.2750382

  • Tsikoudis N, Papadogiannakis A and Markatos E. (2016). LEoNIDS. IEEE Transactions on Emerging Topics in Computing. 4:1. (142-155). Online publication date: 1-Jan-2016.

    https://doi.org/10.1109/TETC.2014.2369958

  • Lu Y, Wu D, He B, Tang X, Xu J and Guo M. (2016). Rank-Aware Dynamic Migrations and Adaptive Demotions for DRAM Power Management. IEEE Transactions on Computers. 65:1. (187-202). Online publication date: 1-Jan-2016.

    https://doi.org/10.1109/TC.2015.2409847

  • Jia G, Han G, Jiang J, Sun N and Wang K. Dynamic Resource Partitioning for Heterogeneous Multi-Core-Based Cloud Computing in Smart Cities. IEEE Access. 10.1109/ACCESS.2015.2507576. 4. (108-118).

    http://ieeexplore.ieee.org/document/7352309/

  • Mutlu O. Rethinking Memory System Design (along with Interconnects). Proceedings of the 8th International Workshop on Network on Chip Architectures. (1-1).

    https://doi.org/10.1145/2835512.2835520

  • Nath R and Tullsen D. The CRISP performance model for dynamic voltage and frequency scaling in a GPGPU. Proceedings of the 48th International Symposium on Microarchitecture. (281-293).

    https://doi.org/10.1145/2830772.2830826

  • Jia G, Han G, Jiang J and Rodrigues J. (2015). PARS. Journal of Network and Computer Applications. 58:C. (327-336). Online publication date: 1-Dec-2015.

    https://doi.org/10.1016/j.jnca.2015.08.001

  • Chen Y, Yang C, Lin P and Lu Y. Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs. Proceedings of the 2015 Conference on research in adaptive and convergent systems. (430-436).

    https://doi.org/10.1145/2811411.2811515

  • Gottscho M, BanaiyanMofrad A, Dutt N, Nicolau A and Gupta P. (2015). DPCS. ACM Transactions on Architecture and Code Optimization. 12:3. (1-26). Online publication date: 6-Oct-2015.

    https://doi.org/10.1145/2792982

  • Gupta V, Lee M and Schwan K. (2015). HeteroVisor. ACM SIGPLAN Notices. 50:7. (79-92). Online publication date: 25-Aug-2015.

    https://doi.org/10.1145/2817817.2731191

  • Lu Y, He B, Tang X and Guo M. Synergy of Dynamic Frequency Scaling and Demotion on DRAM Power Management: Models and Optimizations. IEEE Transactions on Computers. 10.1109/TC.2014.2360534. 64:8. (2367-2381).

    http://ieeexplore.ieee.org/document/6911963/

  • Paul I, Huang W, Arora M and Yalamanchili S. Harmonia. Proceedings of the 42nd Annual International Symposium on Computer Architecture. (54-65).

    https://doi.org/10.1145/2749469.2750404

  • Nachiappan N, Zhang H, Ryoo J, Soundararajan N, Sivasubramaniam A, Kandemir M, Iyer R and Das C. VIP. Proceedings of the 42nd Annual International Symposium on Computer Architecture. (655-667).

    https://doi.org/10.1145/2749469.2750382

  • Appuswamy R, Olma M and Ailamaki A. Scaling the Memory Power Wall With DRAM-Aware Data Management. Proceedings of the 11th International Workshop on Data Management on New Hardware. (1-9).

    https://doi.org/10.1145/2771937.2771947

  • Chen Q, Zhao H, Sun H and Zheng N. (2015). Exploiting bit-depth scaling for quality-scalable energy efficient display processing 2015 IEEE International Symposium on Circuits and Systems (ISCAS). 10.1109/ISCAS.2015.7169157. 978-1-4799-8391-9. (2357-2360).

    http://ieeexplore.ieee.org/document/7169157/

  • Carretero J, Distefano S, Petcu D, Pop D, Rauber T, Runger G and Singh D. (2015). Energy-efficient Algorithms for Ultrascale Systems. Supercomputing Frontiers and Innovations: an International Journal. 2:2. (77-104). Online publication date: 6-Apr-2015.

    https://doi.org/10.14529/jsfi150205

  • Jia G, Han G, Jiang J and Li A. (2015). Dynamic Time-slice Scaling for Addressing OS Problems Incurred by Main Memory DVFS in Intelligent System. Mobile Networks and Applications. 20:2. (157-168). Online publication date: 1-Apr-2015.

    https://doi.org/10.1007/s11036-015-0587-2

  • Gupta V, Lee M and Schwan K. HeteroVisor. Proceedings of the 11th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. (79-92).

    https://doi.org/10.1145/2731186.2731191

  • Arora M, Manne S, Paul I, Jayasena N and Tullsen D. (2015). Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on CPU-GPU Integrated systems 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056047. 978-1-4799-8930-0. (366-377).

    http://ieeexplore.ieee.org/document/7056047/

  • Hsu C, Zhang Y, Laurenzano M, Meisner D, Wenisch T, Mars J, Tang L and Dreslinski R. (2015). Adrenaline: Pinpointing and reining in tail queries with quick voltage boosting 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056039. 978-1-4799-8930-0. (271-282).

    http://ieeexplore.ieee.org/document/7056039/

  • Nachiappan N, Yedlapalli P, Soundararajan N, Sivasubramaniam A, Kandemir M, Iyer R and Das C. (2015). Domain knowledge based energy management in handhelds 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056029. 978-1-4799-8930-0. (150-160).

    http://ieeexplore.ieee.org/document/7056029/

  • Jia G, Han G, Zhang D, Liu L and Shu L. An Adaptive Framework for Improving Quality of Service in Industrial Systems. IEEE Access. 10.1109/ACCESS.2015.2496959. 3. (2129-2139).

    http://ieeexplore.ieee.org/document/7314854/

  • Subramaniam B and Feng W. (2015). On the Energy Proportionality of Distributed NoSQL Data Stores. High Performance Computing Systems. Performance Modeling, Benchmarking, and Simulation. 10.1007/978-3-319-17248-4_14. (264-274).

    https://link.springer.com/10.1007/978-3-319-17248-4_14

  • Mutlu O. (2015). Main Memory Scaling: Challenges and Solution Directions. More than Moore Technologies for Next Generation Computer Design. 10.1007/978-1-4939-2163-8_6. (127-153).

    https://link.springer.com/10.1007/978-1-4939-2163-8_6

  • Pore M, Abbasi Z, Gupta S and Varsamopoulos G. (2015). Techniques to Achieve Energy Proportionality in Data Centers: A Survey. Handbook on Data Centers. 10.1007/978-1-4939-2092-1_4. (109-162).

    https://link.springer.com/10.1007/978-1-4939-2092-1_4

  • Volos S, Picorel J, Falsafi B and Grot B. BuMP. Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. (545-557).

    https://doi.org/10.1109/MICRO.2014.44

  • Jia G, Han G, Shi L, Wan J and Dai D. (2014). Combine thread with memory scheduling for maximizing performance in multi-core systems 2014 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS). 10.1109/PADSW.2014.7097821. 978-1-4799-7615-7. (298-305).

    http://ieeexplore.ieee.org/document/7097821/

  • Tan L, Kothapalli S, Chen L, Hussaini O, Bissiri R and Chen Z. (2014). A survey of power and energy efficient techniques for high performance numerical linear algebra operations. Parallel Computing. 40:10. (559-573). Online publication date: 1-Dec-2014.

    https://doi.org/10.1016/j.parco.2014.09.001

  • Zhang D, Ehsan M, Ferdman M and Sion R. DIMMer. Proceedings of the ACM Symposium on Cloud Computing. (1-8).

    https://doi.org/10.1145/2670979.2670990

  • Liu Y, Draper S and Kim N. (2014). SleepScale. ACM SIGARCH Computer Architecture News. 42:3. (313-324). Online publication date: 16-Oct-2014.

    https://doi.org/10.1145/2678373.2665719

  • Mutlu O and Subramanian L. (2014). Research Problems and Opportunities in Memory Systems. Supercomputing Frontiers and Innovations: an International Journal. 1:3. (19-55). Online publication date: 12-Oct-2014.

    https://doi.org/10.14529/jsfi140302

  • Wu L, Polychroniou O, Barker R, Kim M and Ross K. (2014). Energy Analysis of Hardware and Software Range Partitioning. ACM Transactions on Computer Systems. 32:3. (1-24). Online publication date: 23-Sep-2014.

    https://doi.org/10.1145/2638550

  • Davis J, Rivoire S and Goldszmidt M. Star-Cap. Proceedings of the 2014 43rd International Conference on Parallel Processing Workshops. (114-120).

    https://doi.org/10.1109/ICPPW.2014.27

  • Gangyong Jia , Liang Shi , Jian Wan , Youwei Yuan , Xi Li and Dong Dai . (2014). PUMA: Pseudo unified memory architecture for single-ISA heterogeneous multi-core systems 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). 10.1109/RTCSA.2014.6910521. 978-1-4799-3953-4. (1-10).

    http://ieeexplore.ieee.org/document/6910521/

  • Gulur N, Mehendale M, Manikantan R and Govindarajan R. (2014). ANATOMY. ACM SIGMETRICS Performance Evaluation Review. 42:1. (505-517). Online publication date: 20-Jun-2014.

    https://doi.org/10.1145/2637364.2591995

  • Chidambaram Nachiappan N, Yedlapalli P, Soundararajan N, Kandemir M, Sivasubramaniam A and Das C. (2014). GemDroid. ACM SIGMETRICS Performance Evaluation Review. 42:1. (355-366). Online publication date: 20-Jun-2014.

    https://doi.org/10.1145/2637364.2591973

  • Gulur N, Mehendale M, Manikantan R and Govindarajan R. ANATOMY. The 2014 ACM international conference on Measurement and modeling of computer systems. (505-517).

    https://doi.org/10.1145/2591971.2591995

  • Chidambaram Nachiappan N, Yedlapalli P, Soundararajan N, Kandemir M, Sivasubramaniam A and Das C. GemDroid. The 2014 ACM international conference on Measurement and modeling of computer systems. (355-366).

    https://doi.org/10.1145/2591971.2591973

  • Liu Y, Draper S and Kim N. SleepScale. Proceeding of the 41st annual international symposium on Computer architecuture. (313-324).

    /doi/10.5555/2665671.2665719

  • Liu Y, Draper S and Kim N. (2014). SleepScale: Runtime joint speed scaling and sleep states management for power efficient data centers 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 10.1109/ISCA.2014.6853235. 978-1-4799-4394-4. (313-324).

    http://ieeexplore.ieee.org/document/6853235/

  • Subramaniam B and Feng W. Enabling efficient power provisioning for enterprise applications. Proceedings of the 14th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing. (71-80).

    https://doi.org/10.1109/CCGrid.2014.121

  • Wu L, Barker R, Kim M and Ross K. Hardware Partitioning for Big Data Analytics. IEEE Micro. 10.1109/MM.2014.11. 34:3. (109-119).

    https://ieeexplore.ieee.org/document/6762799/

  • Jia G, Li X, Yuan Y, Wan J, Jiang C and Dai D. PseudoNUMA for reducing memory interference in multi-core systems. Proceedings of the High Performance Computing Symposium. (1-8).

    /doi/10.5555/2663510.2663516

  • Chen L, Chen M, Ruan Y, Huang Y, Cui Z, Lu T and Bao Y. (2014). MIMS: Towards a Message Interface Based Memory System. Journal of Computer Science and Technology. 10.1007/s11390-014-1428-7. 29:2. (255-272). Online publication date: 1-Mar-2014.

    http://link.springer.com/10.1007/s11390-014-1428-7

  • Wong D and Annavaram M. (2014). Implications of high energy proportional servers on cluster-wide energy proportionality 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2014.6835925. 978-1-4799-3097-5. (142-153).

    http://ieeexplore.ieee.org/document/6835925/

  • Liu Q, Moreto M, Abella J, Cazorla F and Valero M. (2014). DReAM: Per-Task DRAM Energy Metering in Multicore Systems. Euro-Par 2014 Parallel Processing. 10.1007/978-3-319-09873-9_10. (111-123).

    http://link.springer.com/10.1007/978-3-319-09873-9_10

  • Jia G, Li X, Wan J, Wang C, Dai D and Jiang C. Coordinate Task and Memory Management for Improving Power Efficiency. Proceedings of the 13th International Conference on Algorithms and Architectures for Parallel Processing - Volume 8285. (267-278).

    https://doi.org/10.1007/978-3-319-03859-9_23

  • Zhao J, Sun G, Loh G and Xie Y. (2013). Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface. ACM Transactions on Architecture and Code Optimization. 10:4. (1-25). Online publication date: 1-Dec-2013.

    https://doi.org/10.1145/2541228.2541231

  • Jia G, Li X, Wan J, Shi L and Wang C. Coordinate page allocation and thread group for improving main memory power efficiency. Proceedings of the Workshop on Power-Aware Computing and Systems. (1-5).

    https://doi.org/10.1145/2525526.2525851

  • Wu L, Barker R, Kim M and Ross K. (2013). Navigating big data with high-throughput, energy-efficient data partitioning. ACM SIGARCH Computer Architecture News. 41:3. (249-260). Online publication date: 26-Jun-2013.

    https://doi.org/10.1145/2508148.2485944

  • Wu L, Barker R, Kim M and Ross K. Navigating big data with high-throughput, energy-efficient data partitioning. Proceedings of the 40th Annual International Symposium on Computer Architecture. (249-260).

    https://doi.org/10.1145/2485922.2485944

  • Wong D and Annavaram M. (2013). Scaling the Energy Proportionality Wall with KnightShift. IEEE Micro. 33:3. (28-37). Online publication date: 1-May-2013.

    https://doi.org/10.1109/MM.2013.31

  • Kultursay E, Kandemir M, Sivasubramaniam A and Mutlu O. (2013). Evaluating STT-RAM as an energy-efficient main memory alternative 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2013.6557176. 978-1-4673-5779-1. (256-267).

    http://ieeexplore.ieee.org/document/6557176/

  • Dalton N. (2013). Neurodiversity HCI. Interactions. 20:2. (72-75). Online publication date: 1-Mar-2013.

    https://doi.org/10.1145/2427076.2427091

  • Wiberg M, Ishii H, Dourish P, Vallgårda A, Kerridge T, Sundström P, Rosner D and Rolston M. (2013). Materiality matters---experience materials. Interactions. 20:2. (54-57). Online publication date: 1-Mar-2013.

    https://doi.org/10.1145/2427076.2427087

  • Churchill E, Bowser A and Preece J. (2013). Teaching and learning human-computer interaction. Interactions. 20:2. (44-53). Online publication date: 1-Mar-2013.

    https://doi.org/10.1145/2427076.2427086

  • Henze N and Pielot M. (2013). App stores. Interactions. 20:2. (33-38). Online publication date: 1-Mar-2013.

    https://doi.org/10.1145/2427076.2427084

  • Kern D and Pfleging B. (2013). Supporting interaction through haptic feedback in automotive user interfaces. Interactions. 20:2. (16-21). Online publication date: 1-Mar-2013.

    https://doi.org/10.1145/2427076.2427081

  • Govindan S, Wang D, Sivasubramaniam A and Urgaonkar B. (2013). Aggressive Datacenter Power Provisioning with Batteries. ACM Transactions on Computer Systems. 31:1. (1-31). Online publication date: 1-Feb-2013.

    https://doi.org/10.1145/2427631.2427633

  • Deng Q, Meisner D, Bhattacharjee A, Wenisch T and Bianchini R. CoScale. Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. (143-154).

    https://doi.org/10.1109/MICRO.2012.22

  • Malladi K, Shaeffer I, Gopalakrishnan L, Lo D, Lee B and Horowitz M. Rethinking DRAM Power Modes for Energy Proportionality. Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. (131-142).

    https://doi.org/10.1109/MICRO.2012.21

  • Wong D and Annavaram M. KnightShift. Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. (119-130).

    https://doi.org/10.1109/MICRO.2012.20

  • Ercan F, Gazala N and David H. (2012). An integrated approach to system-level CPU and memory energy efficiency on computing systems 2012 International Conference on Energy Aware Computing (ICEAC). 10.1109/ICEAC.2012.6471018. 978-1-4673-5328-1. (1-6).

    http://ieeexplore.ieee.org/document/6471018/

  • Hardin D, Slind K, Whalen M and Pham T. (2012). A DSL for cross-domain security. ACM SIGAda Ada Letters. 32:3. (53-62). Online publication date: 29-Nov-2012.

    https://doi.org/10.1145/2402709.2402697

  • Kirtchev H. (2012). A new robust and efficient implementation of controlled types in the GNAT compiler. ACM SIGAda Ada Letters. 32:3. (43-50). Online publication date: 29-Nov-2012.

    https://doi.org/10.1145/2402709.2402693

  • Schonberg E and Pucci V. (2012). Implementation of a simple dimensionality checking system in Ada 2012. ACM SIGAda Ada Letters. 32:3. (35-42). Online publication date: 29-Nov-2012.

    https://doi.org/10.1145/2402709.2402692

  • Kanig J, Schonberg E and Dross C. (2012). Hi-Lite. ACM SIGAda Ada Letters. 32:3. (27-34). Online publication date: 29-Nov-2012.

    https://doi.org/10.1145/2402709.2402690

  • Wu D, He B, Tang X, Xu J and Guo M. RAMZzz. Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis. (1-11).

    /doi/10.5555/2388996.2389040

  • Wu D, He B, Tang X, Xu J and Guo M. RAMZzz. Proceedings of the 2012 International Conference for High Performance Computing, Networking, Storage and Analysis. (1-11).

    https://doi.org/10.1109/SC.2012.99

  • Kang H and Yang B. Low-Power Time Deinterleaver for ISDB-T Receiver. IEEE Transactions on Circuits and Systems II: Express Briefs. 10.1109/TCSII.2012.2213354. 59:10. (668-672).

    http://ieeexplore.ieee.org/document/6297449/

  • Malladi K, Lee B, Nothaft F, Kozyrakis C, Periyathambi K and Horowitz M. (2012). Towards energy-proportional datacenter memory with mobile DRAM. ACM SIGARCH Computer Architecture News. 40:3. (37-48). Online publication date: 5-Sep-2012.

    https://doi.org/10.1145/2366231.2337164

  • Deng Q, Meisner D, Bhattacharjee A, Wenisch T and Bianchini R. MultiScale. Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design. (297-302).

    https://doi.org/10.1145/2333660.2333727

  • Zheng W, Centeno A, Chong F and Bianchini R. LogStore. Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design. (273-278).

    https://doi.org/10.1145/2333660.2333723

  • Malladi K, Lee B, Nothaft F, Kozyrakis C, Periyathambi K and Horowitz M. Towards energy-proportional datacenter memory with mobile DRAM. Proceedings of the 39th Annual International Symposium on Computer Architecture. (37-48).

    /doi/10.5555/2337159.2337164

  • Lei Ye and Gniady C. Improving energy efficiency of buffer cache in virtual machines. Proceedings of the 2012 International Green Computing Conference (IGCC). (1-10).

    https://doi.org/10.1109/IGCC.2012.6322274

  • Meisner D and Wenisch T. (2012). DreamWeaver. ACM SIGPLAN Notices. 47:4. (313-324). Online publication date: 1-Jun-2012.

    https://doi.org/10.1145/2248487.2151009

  • Govindan S, Wang D, Sivasubramaniam A and Urgaonkar B. (2012). Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters. ACM SIGPLAN Notices. 47:4. (75-86). Online publication date: 1-Jun-2012.

    https://doi.org/10.1145/2248487.2150985

  • Malladi K, Nothaft F, Periyathambi K, Lee B, Kozyrakis C and Horowitz M. (2012). Towards energy-proportional datacenter memory with mobile DRAM 2012 ACM/IEEE 39th International Symposium on Computer Architecture (ISCA). 10.1109/ISCA.2012.6237004. 978-1-4673-0476-4. (37-48).

    http://ieeexplore.ieee.org/document/6237004/

  • Deng Q, Ramos L, Bianchini R, Meisner D and Wenisch T. (2012). Active Low-Power Modes for Main Memory with MemScale. IEEE Micro. 32:3. (60-69). Online publication date: 1-May-2012.

    https://doi.org/10.1109/MM.2012.21

  • Meisner D and Wenisch T. (2012). DreamWeaver. ACM SIGARCH Computer Architecture News. 40:1. (313-324). Online publication date: 18-Apr-2012.

    https://doi.org/10.1145/2189750.2151009

  • Govindan S, Wang D, Sivasubramaniam A and Urgaonkar B. (2012). Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters. ACM SIGARCH Computer Architecture News. 40:1. (75-86). Online publication date: 18-Apr-2012.

    https://doi.org/10.1145/2189750.2150985

  • Chen Y, Alspaugh S, Borthakur D and Katz R. Energy efficiency for large-scale MapReduce workloads with significant interactive analysis. Proceedings of the 7th ACM european conference on Computer Systems. (43-56).

    https://doi.org/10.1145/2168836.2168842

  • Meisner D and Wenisch T. DreamWeaver. Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems. (313-324).

    https://doi.org/10.1145/2150976.2151009

  • Govindan S, Wang D, Sivasubramaniam A and Urgaonkar B. Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters. Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems. (75-86).

    https://doi.org/10.1145/2150976.2150985

  • Awasthi M, Nellans D, Sudan K, Balasubramonian R and Davis A. (2011). Managing Data Placement in Memory Systems with Multiple Memory Controllers. International Journal of Parallel Programming. 10.1007/s10766-011-0178-1. 40:1. (57-83). Online publication date: 1-Feb-2012.

    http://link.springer.com/10.1007/s10766-011-0178-1

  • Elangovan K, Rodero I, Parashar M, Guim F and Hernandez I. Adaptive memory power management techniques for HPC workloads. Proceedings of the 2011 18th International Conference on High Performance Computing. (1-11).

    https://doi.org/10.1109/HiPC.2011.6152740

  • Kumar K, Doshi K, Dimitrov M and Lu Y. Memory energy management for an enterprise decision support system. Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design. (277-282).

    /doi/10.5555/2016802.2016864

  • Kumar K, Doshi K, Dimitrov M and Lu Y. (2011). Memory energy management for an enterprise decision support system 2011 International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED.2011.5993649. 978-1-61284-658-3. (277-282).

    http://ieeexplore.ieee.org/document/5993649/

  • Duan R, Mingsong Bi and Gniady C. Exploring memory energy optimizations in smartphones. Proceedings of the 2011 International Green Computing Conference and Workshops. (1-8).

    https://doi.org/10.1109/IGCC.2011.6008591

  • Govindan S, Sivasubramaniam A and Urgaonkar B. (2011). Benefits and limitations of tapping into stored energy for datacenters. ACM SIGARCH Computer Architecture News. 39:3. (341-352). Online publication date: 22-Jun-2011.

    https://doi.org/10.1145/2024723.2000105

  • Biswas S, Tiwari M, Sherwood T, Theogarajan L and Chong F. (2011). Fighting fire with fire. ACM SIGARCH Computer Architecture News. 39:3. (331-340). Online publication date: 22-Jun-2011.

    https://doi.org/10.1145/2024723.2000104

  • Meisner D, Sadler C, Barroso L, Weber W and Wenisch T. (2011). Power management of online data-intensive services. ACM SIGARCH Computer Architecture News. 39:3. (319-330). Online publication date: 22-Jun-2011.

    https://doi.org/10.1145/2024723.2000103

  • Manoochehri M, Annavaram M and Dubois M. (2011). CPPC. ACM SIGARCH Computer Architecture News. 39:3. (223-234). Online publication date: 22-Jun-2011.

    https://doi.org/10.1145/2024723.2000091

  • Kwon Y, Kim C, Maeng S and Huh J. (2011). Virtualizing performance asymmetric multi-core systems. ACM SIGARCH Computer Architecture News. 39:3. (45-56). Online publication date: 22-Jun-2011.

    https://doi.org/10.1145/2024723.2000071

  • David H, Fallin C, Gorbatov E, Hanebutte U and Mutlu O. Memory power management via dynamic voltage/frequency scaling. Proceedings of the 8th ACM international conference on Autonomic computing. (31-40).

    https://doi.org/10.1145/1998582.1998590

  • Meisner D, Sadler C, Barroso L, Weber W and Wenisch T. Power management of online data-intensive services. Proceedings of the 38th annual international symposium on Computer architecture. (319-330).

    https://doi.org/10.1145/2000064.2000103

  • He X, Cai D, Wen J, Ma W and Zhang H. (2007). Clustering and searching WWW images using link and page layout analysis. ACM Transactions on Multimedia Computing, Communications, and Applications. 3:2. (10-es). Online publication date: 1-May-2007.

    https://doi.org/10.1145/1230812.1230816

  • Oshima C, Nishimoto K and Hagita N. (2007). A piano duo support system for parents to lead children to practice musical performances. ACM Transactions on Multimedia Computing, Communications, and Applications. 3:2. (9-es). Online publication date: 1-May-2007.

    https://doi.org/10.1145/1230812.1230815

  • Sanders B. (1987). The information structure of distributed mutual exclusion algorithms. ACM Transactions on Computer Systems. 5:3. (284-299). Online publication date: 1-Aug-1987.

    https://doi.org/10.1145/24068.28052

  • Harter P. (1987). Response times in level-structured systems. ACM Transactions on Computer Systems. 5:3. (232-248). Online publication date: 1-Aug-1987.

    https://doi.org/10.1145/24068.24069

  • Maity B, Donyanavard B, Venkatasubramanian N and Dutt N. (2023). Workload Characterization for Memory Management in Emerging Embedded Platforms. Analysis, Estimations, and Applications of Embedded Systems. 10.1007/978-3-031-26500-6_6. (65-76).

    https://link.springer.com/10.1007/978-3-031-26500-6_6

  • Mandal R, Mondal M, Banerjee S, Chakraborty C and Biswas U. (2021). A survey and critical analysis on energy generation from datacenter. Data Deduplication Approaches. 10.1016/B978-0-12-823395-5.00005-7. (203-230).

    https://linkinghub.elsevier.com/retrieve/pii/B9780128233955000057

  • Vakil Ghahani S, Kandemir M and Kotra J. (2020). DSM. ACM SIGMETRICS Performance Evaluation Review. 48:1. (91-92). Online publication date: 8-Jul-2020.

    https://doi.org/10.1145/3410048.3410101

  • Vakil Ghahani S, Kandemir M and Kotra J. (2020). DSM. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 4:2. (1-26). Online publication date: 9-Jun-2020.

    https://doi.org/10.1145/3392151

  • Vakil Ghahani S, Kandemir M and Kotra J. DSM: A Case for Hardware-Assisted Merging of DRAM Rows with Same Content. Abstracts of the 2020 SIGMETRICS/Performance Joint International Conference on Measurement and Modeling of Computer Systems. (91-92).

    https://doi.org/10.1145/3393691.3394182

  • Mandal R, Mondal M, Banerjee S and Biswas U. (2020). An approach toward design and development of an energy-aware VM selection policy with improved SLA violation in the domain of green cloud computing. The Journal of Supercomputing. 10.1007/s11227-020-03165-6.

    http://link.springer.com/10.1007/s11227-020-03165-6

  • Usman M, Ismail A, Abdul-Salaam G, Chizari H, Kaiwartya O, Gital A, Abdullahi M, Aliyu A and Dishing S. (2019). Energy-efficient Nature-Inspired techniques in Cloud computing datacenters. Telecommunications Systems. 71:2. (275-302). Online publication date: 1-Jun-2019.

    https://doi.org/10.1007/s11235-019-00549-9

  • Zhang Q, Liu L, Pu C, Cao W and Sahin S. (2018). Efficient Shared Memory Orchestration towards Demand Driven Memory Slicing 2018 IEEE 38th International Conference on Distributed Computing Systems (ICDCS). 10.1109/ICDCS.2018.00121. 978-1-5386-6871-9. (1212-1223).

    https://ieeexplore.ieee.org/document/8416384/

  • Teng F, Yu L, Li T, Deng D and Magoulès F. (2017). Energy efficiency of VM consolidation in IaaS clouds. The Journal of Supercomputing. 73:2. (782-809). Online publication date: 1-Feb-2017.

    https://doi.org/10.1007/s11227-016-1797-5

  • Narayan A, Pillai P, Prasad A and Rao S. (2017). Resource Procurement, Allocation, Metering, and Pricing in Cloud Computing. Research Advances in Cloud Computing. 10.1007/978-981-10-5026-8_7. (141-186).

    http://link.springer.com/10.1007/978-981-10-5026-8_7

  • Maddah R, Cho S and Melhem R. Symbol Shifting: Tolerating More Faults in PCM Blocks. IEEE Transactions on Computers. 10.1109/TC.2015.2479593. 65:7. (2270-2283).

    http://ieeexplore.ieee.org/document/7271032/

  • Teabe B, Tchana A and Hagimont D. (2015). Enforcing CPU allocation in a heterogeneous IaaS. Future Generation Computer Systems. 53:C. (1-12). Online publication date: 1-Dec-2015.

    https://doi.org/10.1016/j.future.2015.05.013

  • Maddah R, Seyedzadeh S and Melhem R. (2015). CAFO: Cost aware flip optimization for asymmetric memories 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056043. 978-1-4799-8930-0. (320-330).

    http://ieeexplore.ieee.org/document/7056043/

  • Narayan A and Rao S. Power-Aware Cloud Metering. IEEE Transactions on Services Computing. 10.1109/TSC.2013.22. 7:3. (440-451).

    http://ieeexplore.ieee.org/document/6482567/

  • Kim D, Lee J, Jung W, Sullivan M and Kim J. Unity ECC: Unified Memory Protection Against Bit and Chip Errors. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-16).

    https://doi.org/10.1145/3581784.3607081

  • Orosa L, Wang Y, Sadrosadati M, Kim J, Patel M, Puddu I, Luo H, Razavi K, Gómez-Luna J, Hassan H, Mansouri-Ghiasi N, Ghose S and Mutlu O. CODIC. Proceedings of the 48th Annual International Symposium on Computer Architecture. (484-497).

    https://doi.org/10.1109/ISCA52012.2021.00045

  • Xu Z, Bai G, Cui A and Wang S. (2021). Power-aware throughput control for containerized relational operation. CCF Transactions on High Performance Computing. 10.1007/s42514-020-00061-6. 3:1. (70-84). Online publication date: 1-Mar-2021.

    https://link.springer.com/10.1007/s42514-020-00061-6

  • Meng X, Wu C, Guo M, Zheng L and Zhang J. (2019). PAM. Frontiers of Computer Science: Selected Publications from Chinese Universities. 13:4. (850-863). Online publication date: 1-Aug-2019.

    https://doi.org/10.1007/s11704-017-6500-3

  • Karyakin A and Salem K. (2019). DimmStore. Proceedings of the VLDB Endowment. 12:11. (1499-1512). Online publication date: 1-Jul-2019.

    https://doi.org/10.14778/3342263.33422629

  • Kommareddy V, Hughes C, Hammond S and Awad A. (2019). Investigating Fairness in Disaggregated Non-Volatile Memories 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2019.00028. 978-1-7281-3391-1. (104-110).

    https://ieeexplore.ieee.org/document/8839445/

  • Ghose S, Yaglikçi A, Gupta R, Lee D, Kudrolli K, Liu W, Hassan H, Chang K, Chatterjee N, Agrawal A, O'Connor M and Mutlu O. (2018). What Your DRAM Power Models Are Not Telling You. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 2:3. (1-41). Online publication date: 21-Dec-2018.

    https://doi.org/10.1145/3224419

  • Seyedzadeh S, Jones A and Melhem R. Mitigating wordline crosstalk using adaptive trees of counters. Proceedings of the 45th Annual International Symposium on Computer Architecture. (612-623).

    https://doi.org/10.1109/ISCA.2018.00057

  • Zhu G, Lu K, Wang X, Zhang Y and Liuy L. (2017). A Case for Memory Frequency Sensitivity 2017 IEEE International Conference on Web Services (ICWS). 10.1109/ICWS.2017.103. 978-1-5386-0752-7. (844-848).

    http://ieeexplore.ieee.org/document/8029846/

  • Teng F, Yu L, Li T, Deng D and Magoulès F. (2017). Energy efficiency of VM consolidation in IaaS clouds. The Journal of Supercomputing. 73:2. (782-809). Online publication date: 1-Feb-2017.

    https://doi.org/10.1007/s11227-016-1797-5

  • Al-Manasia M, Chaczko Z and Ounzar A. (2016). AHRC: An Optimized Cache Associativity 2016 IEEE 18th International Conference on High Performance Computing and Communications; IEEE 14th International Conference on Smart City; IEEE 2nd International Conference on Data Science and Systems (HPCC/SmartCity/DSS). 10.1109/HPCC-SmartCity-DSS.2016.0117. 978-1-5090-4297-5. (811-817).

    http://ieeexplore.ieee.org/document/7828458/

  • Chen C, Wu H, Hsiao C and Tsay R. An accurate and flexible early memory system power evaluation approach using a microcomponent method. Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. (1-8).

    https://doi.org/10.1145/2968456.2968472

  • Subramanya S, Mustafa Z, Irwin D and Shenoy P. Beyond Energy-Efficiency. Proceedings of the 7th ACM/SPEC on International Conference on Performance Engineering. (185-196).

    https://doi.org/10.1145/2851553.2851556

  • Rahmati A, Hicks M, Holcomb D and Fu K. (2015). Probable cause. ACM SIGARCH Computer Architecture News. 43:3S. (604-615). Online publication date: 4-Jan-2016.

    https://doi.org/10.1145/2872887.2750419

  • Jantz M, Robinson F, Kulkarni P and Doshi K. (2015). Cross-layer memory management for managed language applications. ACM SIGPLAN Notices. 50:10. (488-504). Online publication date: 18-Dec-2015.

    https://doi.org/10.1145/2858965.2814322

  • Nath R and Tullsen D. The CRISP performance model for dynamic voltage and frequency scaling in a GPGPU. Proceedings of the 48th International Symposium on Microarchitecture. (281-293).

    https://doi.org/10.1145/2830772.2830826

  • Jantz M, Robinson F, Kulkarni P and Doshi K. Cross-layer memory management for managed language applications. Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, Systems, Languages, and Applications. (488-504).

    https://doi.org/10.1145/2814270.2814322

  • Begum R, Werner D, Hempstead M, Prasad G and Challen G. Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component DVFS. Proceedings of the 2015 IEEE International Symposium on Workload Characterization. (34-43).

    https://doi.org/10.1109/IISWC.2015.10

  • Rahmati A, Hicks M, Holcomb D and Fu K. Probable cause. Proceedings of the 42nd Annual International Symposium on Computer Architecture. (604-615).

    https://doi.org/10.1145/2749469.2750419

  • Ebrahimirad V, Goudarzi M and Rajabi A. (2015). Energy-Aware Scheduling for Precedence-Constrained Parallel Virtual Machines in Virtualized Data Centers. Journal of Grid Computing. 13:2. (233-253). Online publication date: 1-Jun-2015.

    https://doi.org/10.1007/s10723-015-9327-x

  • Li Y, Xu H, Melhem R and Jones A. Space Oblivious Compression. Proceedings of the 25th edition on Great Lakes Symposium on VLSI. (217-220).

    https://doi.org/10.1145/2742060.2742107

  • Zhu Z, Li X, Chao Wang and Xuehai Zhou . (2014). Memory power optimization on different memory address mapping schemas 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). 10.1109/RTCSA.2014.6910545. 978-1-4799-3953-4. (1-9).

    http://ieeexplore.ieee.org/document/6910545/

  • Subramaniam B and Feng W. Enabling efficient power provisioning for enterprise applications. Proceedings of the 14th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing. (71-80).

    https://doi.org/10.1109/CCGrid.2014.121

  • Ebrahimirad V, Rajabi A and Goudarzi M. (2013). Energy-aware scheduling algorithm for precedence-constrained parallel tasks of network-intensive applications in a distributed homogeneous environment 2013 3th International eConference on Computer and Knowledge Engineering (ICCKE). 10.1109/ICCKE.2013.6682850. 978-1-4799-2093-8. (368-375).

    http://ieeexplore.ieee.org/document/6682850/

  • Mittal S. (2012). A survey of architectural techniques for DRAM power management. International Journal of High Performance Systems Architecture. 4:2. (110-119). Online publication date: 1-Dec-2012.

    https://doi.org/10.1504/IJHPSA.2012.050990

  • Takouna I, Dawoud W and Meinel C. Analysis and Simulation of HPC Applications in Virtualized Data Centers. Proceedings of the 2012 IEEE International Conference on Green Computing and Communications. (498-507).

    https://doi.org/10.1109/GreenCom.2012.80