Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/988952.989050acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
Article

RESTA: a robust and extendable symbolic timing analysis tool

Published: 26 April 2004 Publication History

Abstract

Successful timing analysis for high-speed integrated circuits requires accurate delay computation. However, full-custom circuits popular in today's CPU designs make this difficult. A good circuit-level static timing analysis tool should 1) consider both internally or externally specified input constraints; 2) handle a wide range of circuit structures; and 3) have a robust underlying framework that can be applied independent of the actual device model. In this paper, we present RESTA, a Robust and Extendable Symbolic Timing Analysis tool that aims to address these three goals. RESTA estimates the delay for all valid input assignments, while naturally handling input constraints. We start with a simple linear resistor model for transistors and from there apply various heuristics to improve the delay estimation for the circuits without altering the symbolic algorithms. Our worst-case delay estimates are within 10% of SPICE for over 90% of the circuits we simulated.

References

[1]
R. I. Bahar, E. A. Frohm, C. M. Gaona, G. Hachtel, E. Macii, A. Pardo, and F. Somenzi. Algebraic decision diagrams and their applications. In ICCAD, pages 188--191, Nov. 1993.
[2]
P. Bannon. Alpha 21364: A scalable single-chip smp. In Microprocessor Forum, Oct. 1998.
[3]
S. Bhattacharya and C.-J. R. Shi. Concurrent logic and interconnect delay estimation of MOS circuits by mixed algebraic and boolean symbolic analysis. In ISCAS, pages 660--663, Aug. 2003.
[4]
D. Blaauw, V. Zolotov, S. Sundareswaran, C. Oh, and R. Panda. Slope propagation in static timing analysis. In ICCAD, Nov. 2000.
[5]
T. M. Burks, K. A. Sakallah, and T. N. Mudge. Critical paths in circuits with level-sensitive latches. IEEE Transactions on VLSI Systems, 3(2):273--291, June 1995.
[6]
P. Chen, D. A. Kirkpatrick, and K. Keutzer. Switching window computation for static timing analysis in presence of crosstalk noise. In ICCAD, pages 331--337, Nov. 2000.
[7]
M. P. Desai and Y. T. Yen. A systematic technique for verifying critical path delays in a 300 mhz alpha cpu design using circuit simulation. In DAC, June 1996.
[8]
G. Golub and C. V. Loan. Matrix Computations. Johns Hopkins University Press, Baltimore, MD, 3rd edition, 1996.
[9]
S. Hassoun. Critical path analysis using a dynamically bounded delay model. In DAC, pages 360--365, June 2000.
[10]
A. I. Kayssi, K. A. Sakallah, and T. N. Mudge. The impact of signal transition time on path delay computation. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 40(5):302--309, May 1993.
[11]
C. B. McDonald, 2001. Private Communication.
[12]
C. B. McDonald and R. E. Bryant. Computing logic-stage delays using circuit simulation and symbolic elmore analysis. In DAC, June 2001.
[13]
N. Nassif, D. Hall, and M. Desai. Robust elmore models suitable for the timing verification of a 600 MHz CMOS microprocessor. In DAC, June 1998.
[14]
J. Ousterhout. Switch-level delay models for digital MOS VLSI. In DAC, June 1984.
[15]
J. Ousterhout. A switch-level timing verifier for digital MOS VLSI. IEEE Transactions on CAD, 4:336--349, July 1985.
[16]
L. Pillage, R. Rohrer, and C. Visweswariah. Electronic Circuit and System Simulation Methods. McGraw-Hill, 1995.
[17]
J. M. Rabaey, A. Chandrakasan, and B. Nikolic. Digital Integrated Circuits, chapter~6. Prentice Hall, 2003.
[18]
J. Rubenstein, P. Penfield, and M. A. Horowitz. Signal delay in rc tree networks. IEEE Transactions on CAD, 2(3):202--211, July 1983.
[19]
A. Salz and M. Horowitz. IRSIM: An incremental mos switch-level simulator. In DAC, pages 173--178, June 1989.
[20]
F. Somenzi. CUDD: The CU decision diagram package, release 2.3.0. http://vlsi.colorado.edu/~fabio/CUDD.

Cited By

View all
  • (2011)Test Vector Generation for Post-Silicon Delay Testing Using SAT-Based Decision ProblemsJournal of Electronic Testing: Theory and Applications10.1007/s10836-011-5205-z27:2(123-136)Online publication date: 1-Apr-2011
  • (2007)Accurate timing analysis using SAT and pattern-dependent delay modelsProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266586(1018-1023)Online publication date: 16-Apr-2007
  • (2007)Accurate Timing Analysis using SAT and Pattern-Dependent Delay Models2007 Design, Automation & Test in Europe Conference & Exhibition10.1109/DATE.2007.364427(1-6)Online publication date: Apr-2007
  • Show More Cited By

Index Terms

  1. RESTA: a robust and extendable symbolic timing analysis tool

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '04: Proceedings of the 14th ACM Great Lakes symposium on VLSI
    April 2004
    479 pages
    ISBN:1581138539
    DOI:10.1145/988952
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 26 April 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. decision diagrams
    2. input constraints
    3. symbolic CAD
    4. timing analysis

    Qualifiers

    • Article

    Conference

    GLSVLSI04
    Sponsor:
    GLSVLSI04: Great Lakes Symposium on VLSI 2004
    April 26 - 28, 2004
    MA, Boston, USA

    Acceptance Rates

    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 19 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2011)Test Vector Generation for Post-Silicon Delay Testing Using SAT-Based Decision ProblemsJournal of Electronic Testing: Theory and Applications10.1007/s10836-011-5205-z27:2(123-136)Online publication date: 1-Apr-2011
    • (2007)Accurate timing analysis using SAT and pattern-dependent delay modelsProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266586(1018-1023)Online publication date: 16-Apr-2007
    • (2007)Accurate Timing Analysis using SAT and Pattern-Dependent Delay Models2007 Design, Automation & Test in Europe Conference & Exhibition10.1109/DATE.2007.364427(1-6)Online publication date: Apr-2007
    • (2006)Circuit Reliability Analysis Using Symbolic TechniquesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2006.88259225:12(2638-2649)Online publication date: Dec-2006

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media