Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3579371.3589036acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

QIsim: Architecting 10+K Qubit QC Interfaces Toward Quantum Supremacy

Published: 17 June 2023 Publication History

Abstract

A 10+K qubit Quantum-Classical Interface (QCI) is essential to realize the quantum supremacy. However, it is extremely challenging to architect scalable QCIs due to the complex scalability trade-offs regarding operating temperatures, device and wire technologies, and microarchitecture designs. Therefore, architects need a modeling tool to evaluate various QCI design choices and lead to an optimal scalable QCI architecture.
In this paper, we propose (1) QIsim, an open-source QCI simulation framework, and (2) novel architectural optimizations for designing 10+K qubit QCIs toward quantum supremacy. To achieve the goal, we first implement detailed QCI microarchitectures to model all the existing temperature and technology candidates. Next, based on the microarchitectures, we develop our scalability-analysis tool (QIsim) and thoroughly validate it using previous works, post-layout analyses, and real quantum-machine experiments. Finally, we successfully develop our 60,000+ qubit-scale QCI designs by applying eight architectural optimizations driven by QIsim.

References

[1]
Frank Arute, Kunal Arya, Ryan Babbush, Dave Bacon, Joseph C. Bardin, Rami Barends, Rupak Biswas, Sergio Boixo, Fernando G. S. L. Brandao, David A. Buell, Brian Burkett, Yu Chen, Zijun Chen, Ben Chiaro, Roberto Collins, William Courtney, Andrew Dunsworth, Edward Farhi, Brooks Foxen, Austin Fowler, Craig Gidney, Marissa Giustina, Rob Graff, Keith Guerin, Steve Habegger, Matthew P. Harrigan, Michael J. Hartmann, Alan Ho, Markus Hoffmann, Trent Huang, Travis S. Humble, Sergei V. Isakov, Evan Jeffrey, Zhang Jiang, Dvir Kafri, Kostyantyn Kechedzhi, Julian Kelly, Paul V. Klimov, Sergey Knysh, Alexander Korotkov, Fedor Kostritsa, David Landhuis, Mike Lindmark, Erik Lucero, Dmitry Lyakh, Salvatore Mandrà, Jarrod R. McClean, Matthew McEwen, Anthony Megrant, Xiao Mi, Kristel Michielsen, Masoud Mohseni, Josh Mutus, Ofer Naaman, Matthew Neeley, Charles Neill, Murphy Yuezhen Niu, Eric Ostby, Andre Petukhov, John C. Platt, Chris Quintana, Eleanor G. Rieffel, Pedram Roushan, Nicholas C. Rubin, Daniel Sank, Kevin J. Satzinger, Vadim Smelyanskiy, Kevin J. Sung, Matthew D. Trevithick, Amit Vainsencher, Benjamin Villalonga, Theodore White, Z. Jamie Yao, Ping Yeh, Adam Zalcman, Hartmut Neven, and John M. Martinis. 2019. Quantum supremacy using a programmable superconducting processor. Nature 574, 7779 (01 Oct 2019), 505--510.
[2]
Ryan Babbush, Craig Gidney, Dominic W Berry, Nathan Wiebe, Jarrod McClean, Alexandru Paler, Austin Fowler, and Hartmut Neven. 2018. Encoding electronic spectra in quantum circuits with linear T complexity. Physical Review X 8, 4 (2018), 041015.
[3]
Baidu. 2023. Baidu Quanlse. https://quanlse.baidu.com
[4]
Joseph Bardin. 2022. Beyond-Classical Computing Using Superconducting Quantum Processors. In 2022 IEEE International Solid-State Circuits Conference (ISSCC), Vol. 65. IEEE, 422--424.
[5]
Joseph C. Bardin, Evan Jeffrey, Erik Lucero, Trent Huang, Sayan Das, Daniel Thomas Sank, Ofer Naaman, Anthony Edward Megrant, Rami Barends, Ted White, Marissa Giustina, Kevin J. Satzinger, Kunal Arya, Pedram Roushan, Benjamin Chiaro, Julian Kelly, Zijun Chen, Brian Burkett, Yu Chen, Andrew Dunsworth, Austin Fowler, Brooks Foxen, Craig Gidney, Rob Graff, Paul Klimov, Josh Mutus, Matthew J. McEwen, Matthew Neeley, Charles J. Neill, Chris Quintana, Amit Vainsencher, Hartmut Neven, and John Martinis. 2019. Design and Characterization of a 28-nm Bulk-CMOS Cryogenic Quantum Controller Dissipating Less Than 2 mW at 3 K. IEEE Journal of Solid-State Circuits 54, 11 (2019), 3043--3060.
[6]
R Bianchetti, Stefan Filipp, M Baur, JM Fink, M Göppl, Peter J Leek, L Steffen, Alexandre Blais, and Andreas Wallraff. 2009. Dynamics of dispersive single-qubit readout in circuit quantum electrodynamics. Physical Review A 80, 4 (2009), 043840.
[7]
Ilkwon Byun, Junpyo Kim, Dongmoon Min, Ikki Nagaoka, Kosuke Fukumitsu, Iori Ishikawa, Teruo Tanimoto, Masamitsu Tanaka, Koji Inoue, and Jangwoo Kim. 2022. XQsim: modeling cross-technology control processors for 10+ K qubit quantum computers. In Proceedings of the 49th Annual International Symposium on Computer Architecture. 366--382.
[8]
Ilkwon Byun, Dongmoon Min, Gyuhyeon Lee, Seongmin Na, and Jangwoo Kim. 2021. A Next-Generation Cryogenic Processor Architecture. IEEE Micro 41, 3 (2021), 80--86.
[9]
Ilkwon Byun, Dongmoon Min, Gyu-hyeon Lee, Seongmin Na, and Jangwoo Kim. 2020. CryoCore: A fast and dense processor architecture for cryogenic computing. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 335--348.
[10]
Juan-Antonio Carballo, Wei-Ting Jonas Chan, Paolo A Gargini, Andrew B Kahng, and Siddhartha Nath. 2014. ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap. In 2014 IEEE 32nd international conference on computer design (ICCD). IEEE, 139--146.
[11]
Eunjung Cha, Niklas Wadefalk, Giuseppe Moschetti, Arsalan Pourkabirian, Jörgen Stenarson, and Jan Grahn. 2020. A 300-μW Cryogenic HEMT LNA for quantum computing. In 2020 IEEE/MTT-S International Microwave Symposium (IMS). IEEE, 1299--1302.
[12]
Sudipto Chakraborty, David J. Frank, Kevin Tien, Pat Rosno, Mark Yeck, Joseph A. Glick, Raphael Robertazzi, Ray Richetta, John F. Bulzacchelli, Devin Underwood, Daniel Ramirez, Dereje Yilma, Andrew Davies, Rajiv V. Joshi, Shawn D. Chambers, Scott Lekuch, Ken Inoue, Dorothy Wisnieff, Christian W. Baks, Donald S. Bethune, John Timmerwilke, Thomas Fox, Peilin Song, Blake R. Johnson, Brian P. Gaucher, and Daniel J. Friedman. 2022. A Cryo-CMOS Low-Power Semi-Autonomous Transmon Qubit State Controller in 14-nm FinFET Technology. IEEE Journal of Solid-State Circuits 57, 11 (2022), 3258--3273.
[13]
COAX. 2023. Coaxial Cable (SC-086/50-SS-SS). http://www.coax.co.jp/en/product/sc/086-50-ss-ss.html
[14]
COAX. 2023. Superconducting Coaxial Cable (SC-033/50-NbTi-CN). http://www.coax.co.jp/en/product/sc/033-50-nbti-cn.html
[15]
Andrew Cross, Ali Javadi-Abhari, Thomas Alexander, Niel De Beaudrap, Lev S. Bishop, Steven Heidel, Colm A. Ryan, Prasahnt Sivarajah, John Smolin, Jay M. Gambetta, and Blake R. Johnson. 2022. OpenQASM 3: A Broader and Deeper Quantum Assembly Language. ACM Transactions on Quantum Computing 3, 3, Article 12 (sep 2022), 50 pages.
[16]
Poulami Das, Aditya Locharla, and Cody Jones. 2022. LILLIPUT: a lightweight low-latency lookup-table decoder for near-term Quantum error correction. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 541--553.
[17]
Poulami Das, Christopher A Pattison, Srilatha Manne, Douglas M Carmean, Krysta M Svore, Moinuddin Qureshi, and Nicolas Delfosse. 2022. AFS: Accurate, Fast, and Scalable Error-Decoding for Fault-Tolerant Quantum Computers. In 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 259--273.
[18]
DelftCircuit. 2023. Flexible multi channel cable (CrioFlex3). https://delft-circuits.com/product-overview/cf3/
[19]
Johannes Arnoldus Delport, Kyle Jackman, Paul Le Roux, and Coenrad Johann Fourie. 2019. Josim---superconductor spice simulator. IEEE Transactions on Applied Superconductivity 29, 5 (2019), 1--5.
[20]
Yongshan Ding, Adam Holmes, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, and Frederic Chong. 2018. Magic-state functional units: Mapping and scheduling multi-level distillation circuits for fault-tolerant quantum architectures. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 828--840.
[21]
Austin G Fowler, Matteo Mariantoni, John M Martinis, and Andrew N Cleland. 2012. Surface codes: Towards practical large-scale quantum computation. Physical Review A 86, 3 (2012), 032324.
[22]
X. Fu, L. Riesebos, M. A. Rol, Jeroen van Straten, J. van Someren, N. Khammassi, I. Ashraf, R. F. L. Vermeulen, V. Newsum, K. K. L. Loh, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, C. G. Almudever, L. DiCarlo, and K. Bertels. 2019. eQASM: An Executable Quantum Instruction Set Architecture. In 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 224--237.
[23]
X. Fu, M. A. Rol, C. C. Bultink, J. van Someren, N. Khammassi, I. Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, C. G. Almudever, L. DiCarlo, and K. Bertels. 2017. An Experimental Microarchitecture for a Superconducting Quantum Processor. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (Cambridge, Massachusetts) (MICRO-50 '17). Association for Computing Machinery, New York, NY, USA, 813--825.
[24]
Michael R Geller and Zhongyuan Zhou. 2013. Efficient error models for fault-tolerant architectures and the Pauli twirling approximation. Physical Review A 88, 1 (2013), 012314.
[25]
Joydip Ghosh, Austin G Fowler, and Michael R Geller. 2012. Surface code with decoherence: An analysis of three superconducting architectures. Physical Review A 86, 6 (2012), 062318.
[26]
Google. 2023. Cirq.
[27]
Luke CG Govia, Emily J Pritchett, Seth T Merkel, Deanna Pineau, and Frank K Wilhelm. 2012. Theory of Josephson photomultipliers: Optimal working conditions and back action. Physical Review A 86, 3 (2012), 032311.
[28]
Luke CG Govia, Emily J Pritchett, Canran Xu, BLT Plourde, Maxim G Vavilov, Frank K Wilhelm, and R McDermott. 2014. High-fidelity qubit measurement with a microwave-photon counter. Physical Review A 90, 6 (2014), 062307.
[29]
Mauricio Gutiérrez and Kenneth R Brown. 2015. Comparison of a quantum error-correction threshold for exact and approximate errors. Physical Review A 91, 2 (2015), 022335.
[30]
Anna Herr, Arkady Fedorov, Alexander Shnirman, Evgeny Il'Ichev, and Gerd Schön. 2007. Design of a ballistic fluxon qubit readout. Superconductor Science and Technology 20, 11 (2007), S450.
[31]
Adam Holmes, Mohammad Reza Jokar, Ghasem Pasandi, Yongshan Ding, Massoud Pedram, and Frederic T Chong. 2020. NISQ+: Boosting quantum computing power by approximating quantum error correction. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 556--569.
[32]
Caleb Howington, Alex Opremcak, Robert McDermott, Alex Kirichenko, Oleg A Mukhanov, and Britton LT Plourde. 2019. Interfacing superconducting qubits with cryogenic logic: Readout. IEEE Transactions on Applied Superconductivity 29, 5 (2019), 1--5.
[33]
IBM. 2023. Expanding the IBM Quantum roadmap to anticipate the future of quantum-centric supercomputing. https://research.ibm.com/blog/ibm-quantum-roadmap-2025
[34]
IBM. 2023. IBMQ. https://quantum-computing.ibm.com
[35]
Koki Ishida, Ilkwon Byun, Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue. 2020. SuperNPU: An extremely fast neural processing unit using superconducting logic devices. In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 58--72.
[36]
Ali Javadi-Abhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R Brown, Margaret Martonosi, and Frederic T Chong. 2017. Optimized surface code communication in superconducting quantum computers. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. 692--705.
[37]
Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T Chong, and Margaret Martonosi. 2014. ScaffCC: A framework for compilation and analysis of quantum computing programs. In Proceedings of the 11th ACM Conference on Computing Frontiers. 1--10.
[38]
J Robert Johansson, Paul D Nation, and Franco Nori. 2012. QuTiP: An open-source Python framework for the dynamics of open quantum systems. Computer Physics Communications 183, 8 (2012), 1760--1772.
[39]
Mohammad Reza Jokar, Richard Rines, Ghasem Pasandi, Haolin Cong, Adam Holmes, Yunong Shi, Massoud Pedram, and Frederic T Chong. 2022. DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic. In 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 400--414.
[40]
Kiseo Kang, Donggyu Minn, Seongun Bae, Jaeho Lee, Seokhyeong Kang, Moonjoo Lee, Ho-Jin Song, and Jae-Yoon Sim. 2022. A 40-nm Cryo-CMOS Quantum Controller IC for Superconducting Qubit. IEEE Journal of Solid-State Circuits 57, 11 (2022), 3274--3287.
[41]
Nam Sung Kim, Todd Austin, David Baauw, Trevor Mudge, Krisztián Flautner, Jie S Hu, Mary Jane Irwin, Mahmut Kandemir, and Vijaykrishnan Narayanan. 2003. Leakage current: Moore's law meets static power. computer 36, 12 (2003), 68--75.
[42]
DE Kirichenko, Saad Sarwana, and AF Kirichenko. 2011. Zero static power dissipation biasing of RSFQ circuits. IEEE Transactions on Applied Superconductivity 21, 3 (2011), 776--779.
[43]
Ian D. Kivlichan, Craig Gidney, Dominic W. Berry, Nathan Wiebe, Jarrod McClean, Wei Sun, Zhang Jiang, Nicholas Rubin, Austin Fowler, Alán Aspuru-Guzik, Hartmut Neven, and Ryan Babbush. 2020. Improved Fault-Tolerant Quantum Simulation of Condensed-Phase Correlated Electrons via Trotterization. Quantum 4 (July 2020), 296.
[44]
Philip Krantz, Morten Kjaergaard, Fei Yan, Terry P Orlando, Simon Gustavsson, and William D Oliver. 2019. A quantum engineer's guide to superconducting qubits. Applied Physics Reviews 6, 2 (2019), 021318.
[45]
Sebastian Krinner, Simon Storz, Philipp Kurpiers, Paul Magnard, Johannes Heinsoo, Raphael Keller, Janis Luetolf, Christopher Eichler, and Andreas Wallraff. 2019. Engineering cryogenic setups for 100-qubit scale superconducting circuit systems. EPJ Quantum Technology 6, 1 (2019), 2.
[46]
Florent Lecocq, Franklyn Quinlan, Katarina Cicak, Jose Aumentado, SA Diddams, and JD Teufel. 2021. Control and readout of a superconducting qubit using a photonic link. Nature 591, 7851 (2021), 575--579.
[47]
Gyu-hyeon Lee, Dongmoon Min, Ilkwon Byun, and Jangwoo Kim. 2019. Cryogenic computer architecture modeling with memory-side case studies. In Proceedings of the 46th International Symposium on Computer Architecture. 774--787.
[48]
Gyu-Hyeon Lee, Seongmin Na, Ilkwon Byun, Dongmoon Min, and Jangwoo Kim. 2021. CryoGuard: A near refresh-free robust DRAM design for cryogenic computing. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 637--650.
[49]
Edward Leonard Jr, Matthew A Beck, J Nelson, Brad G Christensen, Ted Thorbeck, Caleb Howington, Alexander Opremcak, Ivan V Pechenezhskiy, Kenneth Dodge, Nicholas P Dupuis, et al. 2019. Digital coherent control of a superconducting qubit. Physical Review Applied 11, 1 (2019), 014009.
[50]
Kangbo Li, R McDermott, and Maxim G Vavilov. 2019. Hardware-efficient qubit control with single-flux-quantum pulse sequences. Physical Review Applied 12, 1 (2019), 014044.
[51]
Daniel Litinski. 2019. A game of surface codes: Large-scale quantum computing with lattice surgery. Quantum 3 (2019), 128.
[52]
Daniel Litinski and Felix von Oppen. 2018. Lattice surgery with a twist: simplifying clifford gates of surface codes. Quantum 2 (2018), 62.
[53]
Satvik Maurya and Swamit Tannu. 2022. COMPAQT: Compressed Waveform Memory Architecture for Scalable Qubit Control. In 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 1059--1077.
[54]
R McDermott, MG Vavilov, BLT Plourde, FK Wilhelm, PJ Liebermann, OA Mukhanov, and TA Ohki. 2018. Quantum-classical interface based on single flux quantum digital logic. Quantum science and technology 3, 2 (2018), 024004.
[55]
Dongmoon Min, Ilkwon Byun, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim. 2020. CryoCache: A fast, large, and cost-effective cache architecture for cryogenic computing. In Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. 449--464.
[56]
Dongmoon Min, Yujin Chung, Ilkwon Byun, Junpyo Kim, and Jangwoo Kim. 2022. CryoWire: wire-driven microarchitecture designs for cryogenic computing. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 903--917.
[57]
Shuichi Nagasawa, Kenji Hinode, Tetsuro Satoh, Mutsuo Hidaka, Hiroyuki Akaike, Akira Fujimaki, Nobuyuki Yoshikawa, Kazuyoshi Takagi, and Naofumi Takagi. 2014. Nb 9-layer fabrication process for superconducting large-scale SFQ circuits and its process evaluation. IEICE Transactions on Electronics 97, 3 (2014), 132--140.
[58]
A. Opremcak, C. H. Liu, C. Wilen, K. Okubo, B. G. Christensen, D. Sank, T. C. White, A. Vainsencher, M. Giustina, A. Megrant, B. Burkett, B. L. T. Plourde, and R. McDermott. 2021. High-Fidelity Measurement of a Superconducting Qubit Using an On-Chip Microwave Photon Counter. Phys. Rev. X 11 (Feb 2021), 011027. Issue 1.
[59]
Jongseok Park, Sushil Subramanian, Lester Lampert, Todor Mladenov, Ilya Klotchkov, Dileep J. Kurian, Esdras Juarez-Hernandez, Brando Perez Esparza, Sirisha Rani Kale, Asma Beevi K. T., Shavindra P. Premaratne, Thomas F. Watson, Satoshi Suzuki, Mustafijur Rahman, Jaykant B. Timbadiya, Saksham Soni, and Stefano Pellerano. 2021. A Fully Integrated Cryo-CMOS SoC for State Manipulation, Readout, and High-Speed Gate Pulsing of Spin Qubits. IEEE Journal of Solid-State Circuits 56, 11 (2021), 3289--3306.
[60]
S. J. Pauka, K. Das, R. Kalra, A. Moini, Y. Yang, M. Trainer, A. Bousquet, C. Cantaloube, N. Dick, G. C. Gardner, M. J. Manfra, and D. J. Reilly. 2021. A cryogenic CMOS chip for generating control signals for multiple qubits. Nature Electronics 4, 1 (01 Jan 2021), 64--70.
[61]
Arpit Ranadive, Martina Esposito, Luca Planat, Edgar Bonet, Cécile Naud, Olivier Buisson, Wiebke Guichard, and Nicolas Roch. 2022. Kerr reversal in Josephson meta-material and traveling wave parametric amplification. Nature communications 13, 1 (2022), 1--9.
[62]
Andrea Ruffino, Yatao Peng, Tsung-Yeh Yang, John Michniewicz, Miguel Fernando Gonzalez-Zalba, and Edoardo Charbon. 2021. 13.2 a fully-integrated 40-nm 5-6.5 GHz cryo-CMOS system-on-chip with I/Q receiver and frequency synthesizer for scalable multiplexed readout of quantum dots. In 2021 IEEE International Solid-State Circuits Conference (ISSCC), Vol. 64. IEEE, 210--212.
[63]
Lieze Schindler, Johannes A Delport, and Coenrad J Fourie. 2021. The ColdFlux RSFQ cell library for MIT-LL SFQ5ee fabrication process. IEEE Transactions on Applied Superconductivity 32, 2 (2021), 1--7.
[64]
Zheng Shan, Yu Zhu, and Bo Zhao. 2022. A high-performance compilation strategy for multiplexing quantum control architecture. Scientific Reports 12, 1 (2022), 1--10.
[65]
James E. Stine, Ivan Castellanos, Michael Wood, Jeff Henson, Fred Love, W. Rhett Davis, Paul D. Franzon, Michael Bucher, Sunil Basavarajaiah, Julie Oh, and Ravi Jenkal. 2007. FreePDK: An Open-Source Variation-Aware Design Kit. In 2007 IEEE International Conference on Microelectronic Systems Education (MSE'07). 173--174.
[66]
Youngkyu Sung, Leon Ding, Jochen Braumüller, Antti Vepsäläinen, Bharath Kannan, Morten Kjaergaard, Ami Greene, Gabriel O. Samach, Chris McNally, David Kim, Alexander Melville, Bethany M. Niedzielski, Mollie E. Schwartz, Jonilyn L. Yoder, Terry P. Orlando, Simon Gustavsson, and William D. Oliver. 2021. Realization of High-Fidelity CZ and ZZ-Free iSWAP Gates with a Tunable Coupler. Phys. Rev. X 11 (Jun 2021), 021058. Issue 2.
[67]
Yasunari Suzuki, Takanori Sugiyama, Tomochika Arai, Wang Liao, Koji Inoue, and Teruo Tanimoto. 2022. Q3DE: A fault-tolerant quantum computer architecture for multi-bit burst errors by cosmic rays. In 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 1110--1125.
[68]
A tA v, MD SAJID ANIS, Abby-Mitchell, Héctor Abraham, AduOffei, et al., 2021. Qiskit: An Open-source Framework for Quantum Computing.
[69]
Swamit S Tannu, Zachary A Myers, Prashant J Nair, Douglas M Carmean, and Moinuddin K Qureshi. 2017. Taming the instruction bandwidth of quantum computers via hardware-managed error correction. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. 679--691.
[70]
Barbara M Terhal. 2015. Quantum error correction for quantum memories. Reviews of Modern Physics 87, 2 (2015), 307.
[71]
Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret R Martonosi, and Frederic T Chong. 2022. Supermarq: A scalable quantum benchmark suite. In 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 587--603.
[72]
Yu Tomita and Krysta M Svore. 2014. Low-distance surface codes under realistic quantum noise. Physical Review A 90, 6 (2014), 062320.
[73]
David B Tuckerman, Michael C Hamilton, David J Reilly, Rujun Bai, George A Hernandez, John M Hornibrook, John A Sellers, and Charles D Ellis. 2016. Flexible superconducting Nb transmission lines on thin film polyimide for quantum computing applications. Superconductor Science and Technology 29, 8 (2016), 084007.
[74]
Yosuke Ueno, Masaaki Kondo, Masamitsu Tanaka, Yasunari Suzuki, and Yutaka Tabuchi. 2022. QULATIS: A Quantum Error Correction Methodology toward Lattice Surgery. In 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 274--287.
[75]
Jeroen PG Van Dijk, Bishnu Patra, Stefano Pellerano, Edoardo Charbon, Fabio Sebastiano, and Masoud Babaie. 2020. Designing a DDS-based SoC for high-fidelity multi-qubit control. IEEE Transactions on Circuits and Systems I: Regular Papers 67, 12 (2020), 5380--5393.
[76]
Jeroen Petrus Gerardus Van Dijk, Bishnu Patra, Sushil Subramanian, Xiao Xue, Nodar Samkharadze, Andrea Corna, Charles Jeon, Farhana Sheikh, Esdras Juarez-Hernandez, Brando Perez Esparza, Huzaifa Rampurawala, Brent R. Carlton, Surej Ravikumar, Carlos Nieva, Sungwon Kim, Hyung-Jin Lee, Amir Sammak, Giordano Scappucci, Menno Veldhorst, Lieven M. K. Vandersypen, Edoardo Charbon, Stefano Pellerano, Masoud Babaie, and Fabio Sebastiano. 2020. A Scalable Cryo-CMOS Controller for the Wideband Frequency-Multiplexed Control of Spin Qubits and Transmons. IEEE Journal of Solid-State Circuits 55, 11 (2020), 2930--2946.
[77]
Stephen Williams and Michael Baxter. 2002. Icarus verilog: open-source verilog more than a year later. Linux Journal 2002, 99 (2002), 3.
[78]
Clifford Wolf. 2016. Yosys open synthesis suite.
[79]
Xiao Xue, Bishnu Patra, Jeroen P. G. van Dijk, Nodar Samkharadze, Sushil Subramanian, Andrea Corna, Brian Paquelet Wuetz, Charles Jeon, Farhana Sheikh, Esdras Juarez-Hernandez, Brando Perez Esparza, Huzaifa Rampurawala, Brent Carlton, Surej Ravikumar, Carlos Nieva, Sungwon Kim, Hyung-Jin Lee, Amir Sammak, Giordano Scappucci, Menno Veldhorst, Fabio Sebastiano, Masoud Babaie, Stefano Pellerano, Edoardo Charbon, and Lieven M. K. Vandersypen. 2021. CMOS-based cryogenic control of silicon quantum circuits. Nature 593, 7858 (01 May 2021), 205--210.
[80]
Amir Youssefi, Itay Shomroni, Yash J Joshi, Nathan R Bernier, Anton Lukashchuk, Philipp Uhrich, Liu Qiu, and Tobias J Kippenberg. 2021. A cryogenic electro-optic interconnect for superconducting devices. Nature Electronics 4, 5 (2021), 326--332.

Cited By

View all

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '23: Proceedings of the 50th Annual International Symposium on Computer Architecture
June 2023
1225 pages
ISBN:9798400700958
DOI:10.1145/3579371
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 June 2023

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. quantum computing
  2. cryogenic computing
  3. single flux quantum (SFQ)
  4. modeling
  5. simulation
  6. quantum-classical interface

Qualifiers

  • Research-article

Conference

ISCA '23
Sponsor:

Acceptance Rates

Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 971
    Total Downloads
  • Downloads (Last 12 months)566
  • Downloads (Last 6 weeks)53
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media