Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3479876.3481589acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
short-paper
Public Access

Denial-of-service attack detection using machine learning in network-on-chip architectures

Published: 08 October 2021 Publication History

Abstract

State-of-the-art System-on-Chip (SoC) designs consist of many Intellectual Property (IP) cores that interact using a Network-on-Chip (NoC) architecture. SoC designers increasingly rely on global supply chains for obtaining third-party IPs. In addition to inherent vulnerabilities associated with utilizing third-party IPs, NoC based SoCs enable attackers to exploit the distributed nature of NoC and its connectivity with various IPs to launch a plethora of attacks. Specifically, Denial-of-Service (DoS) attacks pose a serious threat in degrading the SoC performance by flooding the NoC with unnecessary packets. In this paper, we present a machine learning-based runtime monitoring mechanism to detect DoS attacks. The models are statically trained and used for runtime attack detection leading to minimum runtime performance overhead. Our approach is capable of detecting DoS attacks with high accuracy, even in the presence of unpredictable NoC traffic patterns caused by various application mappings. We extensively explore machine learning models and features to provide a comprehensive study on how to use machine learning for DoS attack detection in NoC-based SoCs.

References

[1]
N. Agarwal et al. 2009. GARNET: A detailed on-chip network model inside a full-system simulator. In ISPASS. 33--42.
[2]
N. Binkert et al. 2011. The Gem5 Simulator. SIGARCH Comput. Archit. News 39, 2 (2011), 1--7.
[3]
T. Boraten et al. 2016. Secure model checkers for Network-on-Chip (NoC) architectures. In GLSVLSI. 45--50.
[4]
S. Charles et al. 2018. Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs. In International Symposium on Networks-on-Chip (NOCS).
[5]
S. Charles et al. 2019. Real-time Detection and Localization of DoS Attacks in NoC based SoCs. In Design Automation and Test in Europe (DATE). 1160--1165.
[6]
S. Charles et al. 2020. Lightweight Anonymous Routing in NoC based SoCs. In Design Automation and Test in Europe (DATE). 334--337.
[7]
S. Charles et al. 2020. Real-time Detection and Localization of Distributed DoS Attacks in NoC based SoCs. IEEE Transactions on CAD (TCAD) 39(12) (2020).
[8]
S. Charles and P. Mishra. 2020. Lightweight and trust-aware routing in NoC-based SoCs. In IEEE Annual Symposium on VLSI (ISVLSI). 160--167.
[9]
S. Charles and P. Mishra. 2020. Securing network-on-chip using incremental cryptography. In IEEE Annual Symposium on VLSI (ISVLSI). IEEE, 168--175.
[10]
S Charles and P. Mishra. 2021. A Survey of Network-on-Chip Security Attacks and Countermeasures. ACM Comput. Surv. 54, 5, Article 101 (May 2021).
[11]
C. Ciordas et al. 2004. An event-based network-on-chip monitoring service. In HLDVT. 149--154.
[12]
L. Fiorin et al. 2008. A security monitoring service for NoCs. In CODES+ISSS. 197--202.
[13]
M. Geller and P. Nair. 2018. 5G Security Innovation with Cisco. Whitepaper Cisco Public (2018), 1--29.
[14]
S. Gupta et al. 2016. Vulnerable network analysis using war driving and security intelligence. In ICICT, Vol. 3. 1--5.
[15]
Rajesh JS et al. 2015. Runtime Detection of a Bandwidth Denial Attack from a Rogue Network-on-Chip. In NOCS.
[16]
Hyung Gyu Lee et al. 2008. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. on Design Automation of Electronic Sys. (TODAES) 12, 3 (2008), 1--20.
[17]
P. Mishra and S. Charles. 2021. Network-on-Chip Security and Privacy. Springer.
[18]
A. S. Shekhawat. 2018. Analysis of Encrypted Malicious Traffic. (2018).
[19]
A. Sodani et al. 2016. Knights Landing: Second-Generation Intel Xeon Phi Product. IEEE Micro 36, 2 (2016), 34--46.
[20]
K. Wang et al. 2019. High-performance, energy-efficient, fault-tolerant network-on-chip design using reinforcement learning. In DATE.
[21]
D. Wentzlaff et al. 2007. On-Chip Interconnection Architecture of the Tile Processor. IEEE Micro 27, 5 (2007), 15--31.
[22]
S. C. Woo et al. 1995. The SPLASH-2 programs: characterization and methodological considerations. In ISCA. 24--36.
[23]
Y. J. Yoon et al. 2013. Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance. TCAD 32 (12) (2013).

Cited By

View all
  • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
  • (2024)Detection of Hardware Attacks in Network on Chip Based on Machine Learning2024 IEEE 7th International Conference on Electronic Information and Communication Technology (ICEICT)10.1109/ICEICT61637.2024.10671248(440-445)Online publication date: 31-Jul-2024
  • (2024)Towards Efficient On-Chip Communication: A Survey on Silicon Nanophotonics and Optical Networks-on-ChipJournal of Systems Architecture10.1016/j.sysarc.2024.103171152(103171)Online publication date: Jul-2024
  • Show More Cited By

Index Terms

  1. Denial-of-service attack detection using machine learning in network-on-chip architectures
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Please enable JavaScript to view thecomments powered by Disqus.

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          NOCS '21: Proceedings of the 15th IEEE/ACM International Symposium on Networks-on-Chip
          October 2021
          91 pages
          ISBN:9781450390835
          DOI:10.1145/3479876
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Sponsors

          In-Cooperation

          • IEEE CAS
          • IEEE Council on Electronic Design Automation (CEDA)

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          Published: 08 October 2021

          Permissions

          Request permissions for this article.

          Check for updates

          Qualifiers

          • Short-paper

          Funding Sources

          Conference

          NOCS '21

          Acceptance Rates

          Overall Acceptance Rate 14 of 44 submissions, 32%

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)207
          • Downloads (Last 6 weeks)29
          Reflects downloads up to 02 Oct 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
          • (2024)Detection of Hardware Attacks in Network on Chip Based on Machine Learning2024 IEEE 7th International Conference on Electronic Information and Communication Technology (ICEICT)10.1109/ICEICT61637.2024.10671248(440-445)Online publication date: 31-Jul-2024
          • (2024)Towards Efficient On-Chip Communication: A Survey on Silicon Nanophotonics and Optical Networks-on-ChipJournal of Systems Architecture10.1016/j.sysarc.2024.103171152(103171)Online publication date: Jul-2024
          • (2024)Performance of unique and secure routing protocol (USRP) in flying Adhoc Networks for healthcare applicationsHigh-Confidence Computing10.1016/j.hcc.2023.1001704:1(100170)Online publication date: Mar-2024
          • (2024)Design and implementation of Denial-of-Service attack in network of multiprocessor systems-on-chip with anomaly detection approachService Oriented Computing and Applications10.1007/s11761-024-00394-y18:3(249-267)Online publication date: 18-May-2024
          • (2024)Attack and anomaly prediction in networks-on-chip of multiprocessor system-on-chip-based IoT utilizing machine learning approachesService Oriented Computing and Applications10.1007/s11761-024-00393-z18:3(209-223)Online publication date: 8-May-2024
          • (2023)Visual Exploratory Analysis for Designing Large-Scale Network-on-Chip Architectures: A Domain Expert-Led Design StudyIEEE Transactions on Visualization and Computer Graphics10.1109/TVCG.2023.333717330:4(1970-1983)Online publication date: 28-Nov-2023
          • (2023)SeMAP—A Method to Secure the Communication in NoC-Based Many-CoresIEEE Design & Test10.1109/MDAT.2023.327781340:5(42-51)Online publication date: Oct-2023
          • (2023)Cascaded Machine Learning Model Based DoS Attacks Detection and Classification in NoC2023 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS46773.2023.10182218(1-5)Online publication date: 21-May-2023
          • (2022)Non-intrusive Monitoring Framework for NoC-based Many-Cores2022 XII Brazilian Symposium on Computing Systems Engineering (SBESC)10.1109/SBESC56799.2022.9965177(1-7)Online publication date: 21-Nov-2022
          • Show More Cited By

          View Options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Get Access

          Login options

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media