Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3457388.3458657acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

A comparative survey of open-source application-class RISC-V processor implementations

Published: 11 May 2021 Publication History

Abstract

The numerous emerging implementations of RISC-V processors and frameworks underline the success of this Instruction Set Architecture (ISA) specification. The free and open source character of many implementations facilitates their adoption in academic and commercial projects. As yet it is not easy to say which implementation fits best for a system with given requirements such as processing performance or power consumption. With varying backgrounds and histories, the developed RISC-V processors are very different from each other. Comparisons are difficult, because results are reported for arbitrary technologies and configuration settings. Scaling factors are used to draw comparisons, but this gives only rough estimates. In order to give more substantiated results, this paper compares the most prominent open-source application-class RISC-V projects by running identical benchmarks on identical platforms with defined configuration settings. The Rocket, BOOM, CVA6, and SHAKTI C-Class implementations are evaluated for processing performance, area and resource utilization, power consumption as well as efficiency. Results are presented for the Xilinx Virtex UltraScale+ family and GlobalFoundries 22FDX ASIC technology.

References

[1]
2020. AndesCore Processors. http://www.andestech.com/en/products-solutions/andescore-processors/. Accessed: 2020-11-23.
[2]
2020. Chips by IIS. http://asic.ethz.ch/. Accessed: 2020-11-18.
[3]
2020. CloudBEAR processors for the widest range of applications. https://cloudbear.ru/products.html. Accessed: 2020-11-23.
[4]
2020. Codasip RISC-V Processors. https://codasip.com/risc-v-processors/. Accessed: 2020-11-23.
[5]
2020. CoreMark - An EEMBC Benchmark. https://www.eembc.org/coremark/. Accessed: 2020-11-23.
[6]
2020. Lizard Core. https://github.com/cornell-brg/lizard. Accessed: 2020-11-23.
[7]
2020. mor1kx - an OpenRISC processor IP core. https://github.com/openrisc/mor1kx. Accessed: 2020-11-23.
[8]
2020. PicoRV32 - A Size-Optimized RISC-V CPU. https://github.com/cliffordwolf/picorv32. Accessed: 2020-11-23.
[9]
2020. PolarFire SoC. https://www.microsemi.com/product-directory/soc-fpgas/5498-polarfire-soc-fpga. Accessed: 2020-11-23.
[10]
2020. RISC-V Cores and SoC Overview. https://github.com/riscv/riscv-cores-list. Accessed: 2020-11-23.
[11]
2020. SCR5 efficient application core (RV32 or RV64). https://syntacore.com/page/products/processor-ip/scr5. Accessed: 2020-11-23.
[12]
2020. SHAKTI. https://shakti.org.in/tapeout.html. Accessed: 2020-11-23.
[13]
2020. SPEC CPU 2017. https://www.spec.org/cpu2017/. Accessed: 2020-11-23.
[14]
2020. SpinalHDL, VexRiscv: A FPGA friendly 32 bit RISC-V CPU implementation. https://github.com/SpinalHDL/VexRiscv Accessed: 2020-11-23.
[15]
2021. SHAKTT C-Class Read the Docs. https://c-class.readthedocs.io/en/latest/benchmarking.html. Accessed: 2021-02-04.
[16]
Krste Asanović, Rimas Avizienis, Jonathan Bachrach, Scott Beamer, David Biancolin, Christopher Celio, Henry Cook, Daniel Dabbelt, John Hauser, Adam Izraelevitz, Sagar Karandikar, Ben Keller, Donggyu Kim, John Koenig, Yunsup Lee, Eric Love, Martin Maas, Albert Magyar, Howard Mao, Miquel Moreto, Albert Ou, David A. Patterson, Brian Richards, Colin Schmidt, Stephen Twigg, Huy Vo, and Andrew Waterman. 2016. The Rocket Chip Generator. Technical Report UCB/EECS-2016-17. EECS Department, University of California, Berkeley. http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-17.html
[17]
Rahul R. Balwaik, Shailja R. Nayak, and Amutha Jeyakumar. 2013. Open-Source 32-Bit RISC Soft-Core Processors. IOSR Journal of VLSI and Signal Processing 2 (2013), 43--46.
[18]
C. Celio, P. Chiu, K. Asanović, B. Nikolić, and D. Patterson. 2019. BROOM: An Open-Source Out-of-Order Processor With Resilient Low-Voltage Operation in 28-nm CMOS. IEEE Micro 39, 2 (March 2019), 52--60.
[19]
Christopher Celio, Pi-Feng Chiu, Borivoje Nikolic, David A. Patterson, and Krste Asanović. 2017. BOOM v2: an open-source out-of-order RISC-V core. Technical Report UCB/EECS-2017-157. EECS Department, University of California, Berkeley. http://www2.eecs.berkeley.edu/Pubs/TechRpts/2017/EECS-2017-157.html
[20]
C. Chen, X. Xiang, C. Liu, Y. Shang, R. Guo, D. Liu, Y. Lu, Z. Hao, J. Luo, Z. Chen, C. Li, Y. Pu, J. Meng, X. Yan, Y. Xie, and X. Qi. 2020. Xuantie-910: A Commercial Multi-Core 12-Stage Pipeline Out-of-Order 64-bit High Performance RISC-V Processor with Vector Extension : Industrial Product. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). 52--64.
[21]
Niket K. Choudhary, Salil V. Wadhavkar, Tanmay A. Shah, Hiran Mayukh, Jayneel Gandhi, Brandon H. Dwiel, Sandeep Navada, Hashem H. Najaf-abadi, and Eric Rotenberg. 2011. FabScalar: Composing Synthesizable RTL Designs of Arbitrary Cores within a Canonical Superscalar Template. In Proceedings of the 38th Annual International Symposium on Computer Architecture (San Jose, California, USA) (ISCA '11). Association for Computing Machinery, New York, NY, USA, 11--22.
[22]
Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, and Eric Rotenberg. 2016. AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) (2016), 214--224.
[23]
P. Davide Schiavone, F. Conti, D. Rossi, M. Gautschi, A. Pullini, E. Flamand, and L. Benini. 2017. Slow and steady wins the race? A comparison of ultra-low-power RISC-V cores for Internet-of-Things applications. In 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). 1--8.
[24]
Stefano Di Mascio, Alessandra Menicucci, Eberhard Gill, Gianluca Furano, and Claudio Monteleone. 2019. Leveraging the Openness and Modularity of RISC-V in Space. Journal of Aerospace Information Systems 16, 11 (2019), 454--472.
[25]
Alexander Dörflinger, Yejun Guan, Sören Michalik, Sönke Michalik, Jamin Naghmouchi, and Harald Michalik. 2020. ECC Memory for Fault Tolerant RISC-V Processors. In Architecture of Computing Systems - ARCS 2020, André Brinkmann, Wolfgang Karl, Stefan Lankes, Sven Tomforde, Thilo Pionteck, and Carsten Trinitis (Eds.). Springer International Publishing, Cham, 44--55.
[26]
Neel Gala, Arjun Menon, Rahul Bodduna, GS Madhusudan, and V Kamakoti. 2016. SHAKTI processors: An open-source hardware initiative. In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID). IEEE, 7--8.
[27]
N. Gala, A. Menon, R. Bodduna, G. S. Madhusudan, and V. Kamakoti. 2016. SHAKTI Processors: An Open-Source Hardware Initiative. In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID). 7--8.
[28]
C. Heinz, Y. Lavan, J. Hofmann, and A. Koch. 2019. A Catalog and In-Hardware Evaluation of Open-Source Drop-In Compatible RISC-V Softcore Processors. In 2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig). 1--8.
[29]
R. Höller, D. Haselberger, D. Ballek, P. Rössler, M. Krapfenbauer, and M. Linauer. 2019. Open-Source RISC-V Processor IP Cores for FPGAs --- Overview and Evaluation. In 2019 8th Mediterranean Conference on Embedded Computing (MECO). 1--6.
[30]
Jens Korinth, Jaco Hofmann, Carsten Heinz, and Andreas Koch. 2019. The TaPaSCo Open-Source Toolflow for the Automated Composition of Task-Based Parallel Reconfigurable Computing Systems. In Applied Reconfigurable Computing, Christian Hochberger, Brent Nelson, Andreas Koch, Roger Woods, and Pedro Diniz (Eds.). Springer International Publishing, Cham, 214--229.
[31]
A. Limaye and T. Adegbija. 2018. A Workload Characterization of the SPEC CPU2017 Benchmark Suite. In 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 149--158.
[32]
M. Makni, M. Baklouti, S. Niar, M. W. Jmal, and M. Abid. 2016. A comparison and performance evaluation of FPGA soft-cores for embedded multi-core systems. In 2016 11th International Design Test Symposium (IDT). 154--159.
[33]
S. Mashimo, A. Fujita, R. Matsuo, S. Akaki, A. Fukuda, T. Koizumi, J. Kadomoto, H. Irie, M. Goshima, K. Inoue, and R. Shioya. 2019. An Open Source FPGA-Optimized Out-of-Order RISC-V Soft Processor. In 2019 International Conference on Field-Programmable Technology (ICFPT). 63--71.
[34]
E. Matthews, Z. Aguila, and L. Shannon. 2018. Evaluating the Performance Efficiency of a Soft-Processor, Variable-Length, Parallel-Execution-Unit Architecture for FPGAs Using the RISC-V ISA. In 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 1--8.
[35]
Junya Miura, Hiromu Miyazaki, and Kenji Kise. 2020. A portable and Linux capable RISC-V computer system in Verilog HDL. arXiv:2002.03576 [cs.AR]
[36]
D. Richmond, M. Barrow, and R. Kastner. 2018. Everyone's a Critic: A Tool for Exploring RISC-V Projects. In 2018 28th International Conference on Field Programmable Logic and Applications (FPL). 260--2604.
[37]
Rui Jia, Colin Yu Lin, Zhenhong Guo, Rui Chen, Fei Wang, Tongqiang Gao, and Haigang Yang. 2014. A survey of open source processors for FPGAs. In 2014 24th International Conference on Field Programmable Logic and Applications (FPL). 1--6.
[38]
SEMICO Research Corporation 2019. RISC-V Market Analysis The New Kid on the Block (cc315-19 ed.). SEMICO Research Corporation.
[39]
SiFive, Inc. 2019. SiFive U54 Manual (v19.08p0 ed.). SiFive, Inc.
[40]
Andrew Waterman and Krste Asanović. 2017. The RISC-V Instruction Set Manual, Volume II: Privileged Architecture, Version 1.10. Technical Report. EECS Department, University of California, Berkeley. https://content.riscv.org/wp-content/uploads/2017/05/riscv-privileged-v1.10.pdf
[41]
Andrew Waterman, Yunsup Lee, David A. Patterson, and Krste Asanović. 2016. The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Version 2.1. Technical Report UCB/EECS-2016-118. EECS Department, University of California, Berkeley. http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-118.html
[42]
Reinhold P. Weicker. 1984. Dhrystone: A Synthetic Systems Programming Benchmark. Commun. ACM 27, 10 (Oct. 1984), 1013--1030.
[43]
Xilinx Inc. 2019. UltraScale Architecture-Based FPGAs Memory IP, PG150 (v1.4 ed.). Xilinx Inc.
[44]
F. Zaruba and L. Benini. 2019. The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27, 11 (Nov 2019), 2629--2640.
[45]
S. Zhang, A. Wright, T. Bourgeat, and A. Arvind. 2018. Composable Building Blocks to Open up Processor Design. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 68--81.
[46]
Jerry Zhao, Abraham Gonzalez, Ben Korpan, and Krste Asanovic. 2020. Sonic-BOOM: The 3rd Generation Berkeley Out-of-Order Machine. In Fourth Workshop on Computer Architecture Research with RISC-V (CARRV 2020).

Cited By

View all
  • (2024)WAVING Goodbye to Manual Waveform Analysis in HDL Design With WALIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.338731243:10(3198-3211)Online publication date: Oct-2024
  • (2024)RISC- Vcito: A Multicycle Tiny Processor Implemented with SKY130 PDK2024 IEEE 67th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS60917.2024.10658692(1388-1392)Online publication date: 11-Aug-2024
  • (2024)SAP: Silicon Authentication Platform for System-on-Chip Supply Chain Vulnerabilities2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00020(109-119)Online publication date: 5-May-2024
  • Show More Cited By

Index Terms

  1. A comparative survey of open-source application-class RISC-V processor implementations

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      CF '21: Proceedings of the 18th ACM International Conference on Computing Frontiers
      May 2021
      254 pages
      ISBN:9781450384049
      DOI:10.1145/3457388
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 11 May 2021

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. ASIC
      2. FPGA
      3. GlobalFoundries 22FDX
      4. RISC-V
      5. application-class
      6. benchmarks
      7. energy efficiency
      8. open-source
      9. virtex UltraScale+

      Qualifiers

      • Research-article

      Funding Sources

      • BMBF

      Conference

      CF '21
      Sponsor:
      CF '21: Computing Frontiers Conference
      May 11 - 13, 2021
      Virtual Event, Italy

      Acceptance Rates

      Overall Acceptance Rate 273 of 785 submissions, 35%

      Upcoming Conference

      CF '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)692
      • Downloads (Last 6 weeks)93
      Reflects downloads up to 25 Nov 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)WAVING Goodbye to Manual Waveform Analysis in HDL Design With WALIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.338731243:10(3198-3211)Online publication date: Oct-2024
      • (2024)RISC- Vcito: A Multicycle Tiny Processor Implemented with SKY130 PDK2024 IEEE 67th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS60917.2024.10658692(1388-1392)Online publication date: 11-Aug-2024
      • (2024)SAP: Silicon Authentication Platform for System-on-Chip Supply Chain Vulnerabilities2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00020(109-119)Online publication date: 5-May-2024
      • (2024)An Efficient Hiding Countermeasure with Xilinx MMCM Primitive in Spread Mode2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558293(1-5)Online publication date: 19-May-2024
      • (2024)A Runtime Manager Integrated Emulation Environment for Heterogeneous SoC Design with RISC-V Cores2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00013(23-30)Online publication date: 27-May-2024
      • (2024)RISC-V Architecture based Hardware Accelerator for kNN2024 5th International Conference on Circuits, Control, Communication and Computing (I4C)10.1109/I4C62240.2024.10748481(583-588)Online publication date: 4-Oct-2024
      • (2024)Dynamic Frequency Boosting of RISC-V FPSoCs Through Monitoring Runtime Path Activations2024 27th Euromicro Conference on Digital System Design (DSD)10.1109/DSD64264.2024.00057(377-384)Online publication date: 28-Aug-2024
      • (2024)A Suite of Processors to Explore CHERI-RISC-V Micro Architecture2024 27th Euromicro Conference on Digital System Design (DSD)10.1109/DSD64264.2024.00054(351-360)Online publication date: 28-Aug-2024
      • (2024)Low Power Single Cycle RISC V Processor for Biomedical Applications2024 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER)10.1109/DISCOVER62353.2024.10750715(176-180)Online publication date: 18-Oct-2024
      • (2024)Special Session: Security and RAS in the Computing Continuum2024 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)10.1109/DFT63277.2024.10753548(1-6)Online publication date: 8-Oct-2024
      • Show More Cited By

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media