Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3313231.3352375acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
poster

Analyzing networks-on-chip based deep neural networks

Published: 17 October 2019 Publication History

Abstract

One of the most promising architectures for performing deep neural network inferences on resource-constrained embedded devices is based on massive parallel and specialized cores interconnected by means of a Network-on-Chip (NoC). In this paper, we extensively evaluate NoC-based deep neural network accelerators by exploring the design space spanned by several architectural parameters. We show how latency is mainly dominated by the on-chip communication whereas energy consumption is mainly accounted by memory (both on-chip and off-chip).

References

[1]
Filipp Akopyan, Jun Sawada, Andrew Cassidy, Rodrigo Alvarez-Icaza, John Arthur, Paul Merolla, Nabil Imam, Yutaka Nakamura ans Pallab Datta, Gi-Joon Nam, Brian Taba, Michael Beakes, Bernard Brezzo, Jente B. Kuang, Rajit Manohar, William P. Risk, Bryan Jackson, and Dharmendra S. Modha. 2015. TrueNorth: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 10 (Oct 2015), 1537--1557.
[2]
Lukas Cavigelli and Luca Benini. 2017. Origami: A 803-GOp/s/W Convolutional Network Accelerator. IEEE Transactions on Circuits and Systems for Video Technology 27, 11 (Nov 2017), 2461--2475.
[3]
Tianshi Chen, Zidong Du, Ninghui Sun, Jia Wang, Chengyong Wu, Yunji Chen, and Oliver Temam. 2014. DianNao: A Small-footprint High-throughput Accelerator for Ubiquitous Machine-learning. In International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York, NY, USA, 269--284.
[4]
Francesco Conti, Pasquale Davide Schiavone, and Luca Benini. 2018. XNOR Neural Engine: A Hardware Accelerator IP for 21.6-fJ/op Binary Neural Network Inference. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, 11 (Nov 2018), 2940--2951.
[5]
Alex Krizhevsky, Ilya Sutskever, and Geoffrey E. Hinton. 2017. ImageNet classification with deep convolutional neural networks. Commun. ACM 60, 6 (Jun 2017), 84--90.
[6]
Dmitri Vainbrand and Ran Ginosar. 2010. Network-on-Chip Architectures for Neural Networks. In ACM/IEEE International Symposium on Networks-on-Chip. IEEE, -, 135--144.
[7]
Ying Wang, Jie Xu, Yinhe Han, Huawei Li, and Xiaowei Li. 2016. DeepBurning: Automatic Generation of FPGA-based Learning Accelerators for the Neural Network Family. In Proceedings of the 53rd Annual Design Automation Conference (DAC '16). ACM, New York, NY, USA, Article 110, 6 pages.
[8]
Kaiwei Zou, Ying Wang, Huawei Li, and Xiaowei Li. 2019. Learn-to-Scale: Parallelizing Deep Learning Inference on Chip Multiprocessor Architecture. In IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE). IEEE, -, 1172--1177.

Cited By

View all
  • (2024)Real-Time Compressed Sensing for Joint Hyperspectral Image Transmission and Restoration for CubeSatIEEE Transactions on Geoscience and Remote Sensing10.1109/TGRS.2024.337882862(1-16)Online publication date: 2024
  • (2021)Providing an Adaptive Routing along with a Hybrid Selection Strategy to Increase Efficiency in NoC-Based Neuromorphic SystemsComputational Intelligence and Neuroscience10.1155/2021/83389032021Online publication date: 1-Jan-2021
  • (2021)DCSN: Deep Compressed Sensing Network for Efficient Hyperspectral Data Transmission of Miniaturized SatelliteIEEE Transactions on Geoscience and Remote Sensing10.1109/TGRS.2020.303441459:9(7773-7789)Online publication date: Sep-2021
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
NOCS '19: Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip
October 2019
161 pages
ISBN:9781450367004
DOI:10.1145/3313231
Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

Sponsors

In-Cooperation

  • IEEE Circuits and Systems Society
  • IEEE-CEDA

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 October 2019

Check for updates

Author Tags

  1. deep neural network
  2. design space exploration
  3. network-on-chip
  4. performance and energy evaluation

Qualifiers

  • Poster

Conference

NOCS '19
NOCS '19: International Symposium on Networks-on-Chip
October 17 - 18, 2019
New York, New York

Acceptance Rates

Overall Acceptance Rate 14 of 44 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)15
  • Downloads (Last 6 weeks)1
Reflects downloads up to 28 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Real-Time Compressed Sensing for Joint Hyperspectral Image Transmission and Restoration for CubeSatIEEE Transactions on Geoscience and Remote Sensing10.1109/TGRS.2024.337882862(1-16)Online publication date: 2024
  • (2021)Providing an Adaptive Routing along with a Hybrid Selection Strategy to Increase Efficiency in NoC-Based Neuromorphic SystemsComputational Intelligence and Neuroscience10.1155/2021/83389032021Online publication date: 1-Jan-2021
  • (2021)DCSN: Deep Compressed Sensing Network for Efficient Hyperspectral Data Transmission of Miniaturized SatelliteIEEE Transactions on Geoscience and Remote Sensing10.1109/TGRS.2020.303441459:9(7773-7789)Online publication date: Sep-2021
  • (2021)Energy consumption and performance comparison of DE optimization and PSO-based IP-core mapping technique for 2D and 3D network-on-chipSemiconductor Science and Technology10.1088/1361-6641/ac038c36:8(085015)Online publication date: 14-Jul-2021
  • (2021)Data scheduling and placement in deep learning acceleratorCluster Computing10.1007/s10586-021-03355-8Online publication date: 10-Jul-2021
  • (2020)Exploiting Data Resilience in Wireless Network-on-chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/337944816:2(1-27)Online publication date: 4-Apr-2020
  • (2020)Improving Inference Latency and Energy of Network-on-Chip based Convolutional Neural Networks through Weights Compression2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW50202.2020.00017(54-63)Online publication date: May-2020

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media