Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3386263.3406950acmotherconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning

Published: 07 September 2020 Publication History

Abstract

The complexity of today's System on Chips (SoCs) forces designers to use higher levels of abstractions. Here, early design decisions are conducted on abstract models while different configurations describe how to actually realize the desired SoC. Since those decisions severely affect the final costs of the resulting SoC (in terms of utilized area, power consumption, etc.), a fast and accurate cost estimation is essential at this design stage. Additionally, the resulting costs heavily depend on the adopted logic synthesis algorithms, which optimize the design towards one or more cost objectives. But how to structure a cost estimation method that supports multiple configurations of an SoC, implemented by use of different synthesis strategies, remains an open question. In this work, we address this problem by providing a cost estimation method for a configurable SoC using Machine Learning (ML). A key element of the proposed method is a data representation which describes SoC configurations in a way that is suited for advanced ML algorithms. Experimental evaluations conducted within an industrial environment confirm the accuracy as well as the efficiency of the proposed method.

Supplementary Material

MP4 File (3386263.3406950.mp4)
Presentation video

References

[1]
Jonathan Bachrach, Huy Vo, Brian Richards, Yunsup Lee, Andrew Waterman, Rimas Aviienis, John Wawrzynek, and Krste Asanovi?. Chisel: constructing hardware in a scala embedded language. In Design Automation Conference, 2012.
[2]
Zhaowei Cai, Quanfu Fan, Rogerio S. Feris, and Nuno Vasconcelos. A unified multi-scale deep convolutional neural network for fast object detection. In European conference on computer vision. Springer, 2016.
[3]
Thomas H Cormen, Charles E Leiserson, Ronald L Rivest, and Clifford Stein. Introduction to algorithms. 2009.
[4]
Frank P Coyle and Mitchell A Thornton. From UML to HDL: a model driven architectural approach to hardware-software co-design. In Information systems: new generations conference (ISNG), volume 1, pages 88--93. Citeseer, 2005.
[5]
Clifford E Cummings. Simulation and synthesis techniques for asynchronous FIFO design. In Synopsys Users Group Conference, 2002.
[6]
Wolfgang Ecker and J. Schreiner. Metamodeling and code generation in the hardware/software interface domain. In Handbook of Hardware/Software Codesign, 2017.
[7]
Sanford Friedenthal, Alan Moore, and Rick Steiner. A practical guide to SysML:the systems modeling language. Morgan Kaufmann, 2014.
[8]
Ian Goodfellow, Yoshua Bengio, and Aaron Courville. Deep Learning. MIT Press,2016.
[9]
Joseph L. Greathouse and Gabriel H. Loh. Machine learning for performance and power modeling of heterogeneous systems. In Proceedings of the International Conference on Computer-Aided Design, ICCAD '18. ACM, 2018.
[10]
David Money Harris and Sarah L. Harris. Hardware description languages. In Digital Design and Computer Architecture (Second Edition), pages 172 -- 237. Morgan Kaufmann, Boston, second edition edition, 2013.
[11]
Fernando Herrera, Julio Medina, and Eugenio Villar. Modeling Hardware/Software Embedded Systems with UML/MARTE: A Single-Source Design Approach".
[12]
Hsuan Hsiao and Jason H. Anderson. Sensei: An area-reduction advisor for FPGA high-level synthesis. In Design, Automation and Test in Europe, 2018.
[13]
Anuja P Jain and Padma Dandannavar. Application of machine learning techniques to sentiment analysis. In 2nd International Conference on Applied and Theoretical Computing and Communication Technology, 2016.
[14]
Oscar Luna, Daniel Torres, and RTAC Americas. DMX512 protocol implementation using MC9S08GT60 8-Bit MCU. 2006.
[15]
Bryan McCann, James Bradbury, Caiming Xiong, and Richard Socher. Learned in translation: Contextualized word vectors. In Advances in Neural Information Processing Systems, 2017.
[16]
Kevin P. Murphy. Machine learning: a probabilistic perspective. MIT press, 2013.
[17]
Nasser M Nasrabadi. Pattern recognition and machine learning. Journal of electronic imaging, 16(4), 2007.
[18]
Ethan Perez, Florian Strub, Harm De Vries, Vincent Dumoulin, and Aaron Courville. Film: Visual reasoning with a general conditioning layer. In AAAI Conference on Artificial Intelligence, 2018.
[19]
James Rumbaugh, Ivar Jacobson, and Grady Booch. Unified Modeling Language reference manual. Pearson Higher Education, 2004.
[20]
Lorenzo Servadei, Edoardo Mosca, Elena Zennaro, Keerthikumara Devarajegowda, Michael Werner, Wolfgang Ecker, and Robert Wille. Accurate cost estimation of memory systems utilizing machine learning and solutions from computer vision for design automation. IEEE Transactions on Computers, 69(6), 2020.
[21]
Lorenzo Servadei, Elena Zennaro, Keerthikumara Devarajegowda, Martin Manzinger, Wolfgang Ecker, and Robert Wille. Accurate cost estimation of memory systems inspired by machine learning for computer vision. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2019.
[22]
Shinya Takamaeda-Yamazaki. Pyverilog: A python-based hardware design processing toolkit for Verilog Hdl. In International Symposium on Applied Reconfigurable Computing, 2015.
[23]
Andrew Waterman, Yunsup Lee, Rimas Avizienis, David A. Patterson, and Krste Asanovic. The RISC-V instruction set manual volume 2: Privileged architecture version 1.7. Technical report, University of California at Berkeley Berkeley United States, 2015.
[24]
Gene Wu, Joseph L Greathouse, Alexander Lyashevsky, Nuwan Jayasena, and Derek Chiou. GPGPU performance and power estimation using machine learn-ing. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture, 2015.
[25]
Elena Zennaro, Lorenzo Servadei, Keerthikumara Devarajegowda, and Wolfgang Ecker. A machine learning approach for area prediction of hardware designs from abstract specifications. In 2018 21st Euromicro Conference on Digital System Design (DSD). IEEE, 2018.
[26]
Jiajun Zhang and Chengqing Zong. Deep neural networks in machine translation:An overview. IEEE Intelligent Systems, 2015.
[27]
Xiang Zhang, Junbo Zhao, and Yann LeCun. Character-level convolutional networks for text classification. In Proceedings of the 28th International Conference on Neural Information Processing Systems-Volume 1. MIT Press, 2015.
[28]
Zhong-Qiu Zhao, Peng Zheng, Shou-tao Xu, and Xindong Wu. Object detection with deep learning: A review. IEEE transactions on neural networks and learning systems, 2019.

Index Terms

  1. Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Other conferences
    GLSVLSI '20: Proceedings of the 2020 on Great Lakes Symposium on VLSI
    September 2020
    597 pages
    ISBN:9781450379441
    DOI:10.1145/3386263
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 07 September 2020

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. design automation
    2. hardware-software codesign
    3. machine learning

    Qualifiers

    • Research-article

    Funding Sources

    • BMBF
    • BMK

    Conference

    GLSVLSI '20
    GLSVLSI '20: Great Lakes Symposium on VLSI 2020
    September 7 - 9, 2020
    Virtual Event, China

    Acceptance Rates

    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 73
      Total Downloads
    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 18 Nov 2024

    Other Metrics

    Citations

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media