Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3386263.3406900acmotherconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

SNEAP: A Fast and Efficient Toolchain for Mapping Large-Scale Spiking Neural Network onto NoC-based Neuromorphic Platform

Published: 07 September 2020 Publication History

Abstract

Spiking neural network (SNN), as the third generation of artificial neural networks, has been widely adopted in vision and audio tasks. Nowadays, many neuromorphic platforms support SNN simulation and adopt Network-on-Chips (NoC) architecture for multi-cores interconnection. However, a large volume and run-time communication on the interconnection has a significant effect on performance of the platform. In this paper, we propose a toolchain called SNEAP (Spiking NEural network mAPping toolchain) for mapping SNNs to neuromorphic platforms with multi-cores, which aims to reduce the energy and latency brought by spike communication on the interconnection.
SNEAP includes two key steps: partitioning the SNN to reduce the spikes communicated between partitions, and mapping the partitions of SNN to the NoC to reduce average hop of spikes under the constraint of hardware resources. SNEAP effectively reduces the energy and latency on the NoC-based neuromorphic platform and spend less time than other toolchains.
The experimental results show that SNEAP can achieve average 418X reduction in end-to-end execution time, and reduce energy consumption and spike latency, on average, by 23% and 51% respectively, compared with SpiNeMap.

Supplementary Material

MP4 File (3386263.3406900.mp4)
Presentation video

References

[1]
Wolfgang Maass. Networks of spiking neurons: the third generation of neural network models. Neural networks, 10(9):1659--1671, 1997.
[2]
Peter U Diehl and Matthew Cook. Unsupervised learning of digit recognition using spike-timing-dependent plasticity. Frontiers in computational neuroscience, 9:99, 2015.
[3]
Peter U Diehl, Guido Zarrella, Andrew Cassidy, Bruno U Pedroni, and Emre Neftci. Conversion of artificial recurrent neural networks to spiking neural networks for low-power neuromorphic hardware. In 2016 IEEE International Conference on Rebooting Computing (ICRC), pages 1--8. IEEE, 2016.
[4]
Filipp Akopyan, Jun Sawada, Andrew Cassidy, Rodrigo Alvarez-Icaza, John Arthur, Paul Merolla, Nabil Imam, Yutaka Nakamura, Pallab Datta, Gi-Joon Nam, et al. Truenorth: Design and tool flow of a 65 mw 1 million neuron programmable neurosynaptic chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(10):1537--1557, 2015.
[5]
Mike Davies, Narayan Srinivasa, Tsung-Han Lin, Gautham Chinya, Yongqiang Cao, Sri Harsha Choday, Georgios Dimou, Prasad Joshi, Nabil Imam, Shweta Jain, et al. Loihi: A neuromorphic manycore processor with on-chip learning. IEEE Micro, 38(1):82--99, 2018.
[6]
Saber Moradi, Ning Qiao, Fabio Stefanini, and Giacomo Indiveri. A scalable multicore architecture with heterogeneous memory structures for dynamic neuromorphic asynchronous processors (dynaps). IEEE transactions on biomedical circuits and systems, 12(1):106--122, 2017.
[7]
Steve B Furber, David R Lester, Luis A Plana, Jim D Garside, Eustace Painkras, Steve Temple, and Andrew D Brown. Overview of the spinnaker system architecture. IEEE Transactions on Computers, 62(12):2454--2467, 2012.
[8]
Francesco Galluppi, Sergio Davies, Alexander Rast, Thomas Sharp, Luis A Plana, and Steve Furber. A hierachical configuration system for a massively parallel neural hardware platform. In Proceedings of the 9th conference on Computing Frontiers, pages 183--192. ACM, 2012.
[9]
Yu Ji, YouHui Zhang, ShuangChen Li, Ping Chi, CiHang Jiang, Peng Qu, Yuan Xie, and WenGuang Chen. Neutrams: Neural network transformation and co-design under neuromorphic hardware constraints. In The 49th Annual IEEE/ACM International Symposium on Microarchitecture, page 21. IEEE Press, 2016.
[10]
Matthew Kay Fei Lee, Yingnan Cui, Thannirmalai Somu, Tao Luo, Jun Zhou, Wai Teng Tang, Weng-Fai Wong, and Rick Siow Mong Goh. A system-level simulator for rram-based neuromorphic computing chips. ACM Transactions on Architecture and Code Optimization (TACO), 15(4):64, 2019.
[11]
Adarsha Balaji, Anup Das, Yuefeng Wu, Khanh Huynh, Francesco G Dell'Anna, Giacomo Indiveri, Jeffrey L Krichmar, Nikil D Dutt, Siebren Schaafsma, and Francky Catthoor. Mapping spiking neural networks to neuromorphic hardware. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019.
[12]
Qiangfei Xia and J Joshua Yang. Memristive crossbar arrays for brain-inspired computing. Nature materials, 18(4):309--323, 2019.
[13]
Ting-Shuo Chou, Hirak J Kashyap, Jinwei Xing, Stanislav Listopad, Emily L Rounds, Michael Beyeler, Nikil Dutt, and Jeffrey L Krichmar. Carlsim 4: an open source library for large scale, biologically detailed spiking neural network simulation using heterogeneous clusters. In 2018 International Joint Conference on Neural Networks (IJCNN), pages 1--8. IEEE, 2018.
[14]
George Karypis and Vipin Kumar. Multilevelk-way partitioning scheme for irregular graphs. Journal of Parallel and Distributed computing, 48(1):96--129, 1998.
[15]
Hyung Gyu Lee, Naehyuck Chang, Umit Y Ogras, and Radu Marculescu. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Transactions on Design Automation of Electronic Systems (TODAES), 12(3):23, 2007.
[16]
Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Maurizio Palesi, and Davide Patti. Improving energy efficiency in wireless network-on-chip architectures. ACM Journal on Emerging Technologies in Computing Systems (JETC), 14(1):9, 2018.

Cited By

View all
  • (2024)LSM-Based Hotspot Prediction and Hotspot-Aware Routing in NoC-Based Neuromorphic ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.337085032:7(1239-1252)Online publication date: Jul-2024
  • (2024)Hierarchical Mapping of Large-Scale Spiking Convolutional Neural Networks Onto Resource-Constrained Neuromorphic ProcessorIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334407043:5(1442-1455)Online publication date: May-2024
  • (2023)EdgeMap: An Optimized Mapping Toolchain for Spiking Neural Network in Edge ComputingSensors10.3390/s2314654823:14(6548)Online publication date: 20-Jul-2023
  • Show More Cited By

Index Terms

  1. SNEAP: A Fast and Efficient Toolchain for Mapping Large-Scale Spiking Neural Network onto NoC-based Neuromorphic Platform

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image ACM Other conferences
        GLSVLSI '20: Proceedings of the 2020 on Great Lakes Symposium on VLSI
        September 2020
        597 pages
        ISBN:9781450379441
        DOI:10.1145/3386263
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 07 September 2020

        Permissions

        Request permissions for this article.

        Check for updates

        Badges

        • Honorable Mention

        Author Tags

        1. mapping
        2. neuromorphic platform
        3. spiking neural network

        Qualifiers

        • Research-article

        Conference

        GLSVLSI '20
        GLSVLSI '20: Great Lakes Symposium on VLSI 2020
        September 7 - 9, 2020
        Virtual Event, China

        Acceptance Rates

        Overall Acceptance Rate 312 of 1,156 submissions, 27%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)71
        • Downloads (Last 6 weeks)9
        Reflects downloads up to 01 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)LSM-Based Hotspot Prediction and Hotspot-Aware Routing in NoC-Based Neuromorphic ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.337085032:7(1239-1252)Online publication date: Jul-2024
        • (2024)Hierarchical Mapping of Large-Scale Spiking Convolutional Neural Networks Onto Resource-Constrained Neuromorphic ProcessorIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334407043:5(1442-1455)Online publication date: May-2024
        • (2023)EdgeMap: An Optimized Mapping Toolchain for Spiking Neural Network in Edge ComputingSensors10.3390/s2314654823:14(6548)Online publication date: 20-Jul-2023
        • (2023)GMap : An Open-source Efficient Compiler for Mapping any Network onto any Neuromophic ChipProceedings of the 2023 International Conference on Neuromorphic Systems10.1145/3589737.3605997(1-4)Online publication date: 1-Aug-2023
        • (2023)Back to Homogeneous Computing: A Tightly-Coupled Neuromorphic Processor With Neuromorphic ISAIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2023.330740834:11(2910-2927)Online publication date: Nov-2023
        • (2023)SNNOpt: An Application-Specific Design Framework for Spiking Neural Networks2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS)10.1109/AICAS57966.2023.10168605(1-5)Online publication date: 11-Jun-2023
        • (2023)HeterGenMap: An Evolutionary Mapping Framework for Heterogeneous NoC-Based Neuromorphic SystemsIEEE Access10.1109/ACCESS.2023.334516811(144095-144112)Online publication date: 2023
        • (2023)Path-Based Multicast Routing for Network-on-Chip of the Neuromorphic ProcessorJournal of Computer Science and Technology10.1007/s11390-022-1232-838:5(1098-1112)Online publication date: 1-Sep-2023
        • (2022)Topology-Aware Mapping of Spiking Neural Network to Neuromorphic ProcessorElectronics10.3390/electronics1118286711:18(2867)Online publication date: 10-Sep-2022
        • (2022)Hierarchical Network Connectivity and Partitioning for Reconfigurable Large-Scale Neuromorphic SystemsFrontiers in Neuroscience10.3389/fnins.2021.79765415Online publication date: 31-Jan-2022
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media