Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2902961.2903013acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
short-paper

Workload-Aware Worst Path Analysis of Processor-Scale NBTI Degradation

Published: 18 May 2016 Publication History

Abstract

As technology further scales semiconductor devices, aging-induced device degradation has become one of the major threats to device reliability. In addition, aging mechanisms like the negative bias temperature instability (NBTI) is known to be sensitive to workload (i.e., signal probability) that is hard to be assumed at design phase. In this work, we analyze the workload dependence of NBTI degradation using a processor, and propose a novel technique to estimate the worst-case paths. In our approach, with careful examination, we exploit the fact that the deterministic nature of circuit structure limits the amount of NBTI degradation on different paths, and proposes a two-stage path extraction algorithm to identify the invariable critical paths in the processor. Through numerical experiment on a MIPS32 processor, we performed a detailed signal probability analysis, and successfully extracted 85 invariable critical paths out of the 24,978 path candidates, achieving nearly 300x reduction in the sheer number of paths.

References

[1]
OpenCores. http://www.opencores.org
[2]
A. Abdollahi, F. Fallah, and M. Pedram. Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. VLSI Systems, 12(2):140--154, 2004.
[3]
D. Arthur and S. Vassilvitskii. k-means++: The advantages of careful seeding. In Proc. ACM-SIAM Symp. Discrete Algorithms, pages 1027--1035, 2007.
[4]
H. Awano, M. Hiromoto, and T. Sato. BTIarray: a time-overlapping transistor array for efficient statistical characterization of bias temperature instability. IEEE Trans. Dev. Mater. Rel., 14(3):833--843, 2014.
[5]
R. Baranowski et al. On-line prediction of NBTI-induced aging rates. In Proc. DATE, pages 589--592, 2015
[6]
S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, and S. Vrudhula. Predictive modeling of the NBTI effect for reliable design. In Proc. CICC, pages 189--192, 2006.
[7]
S. Bian, M. Shintani, S. Morita, M. Hiromoto, and T. Sato. Nonlinear delay-table approach for full-chip NBTI degradation prediction. In Proc. ISQED, pages 307--312, 2016.
[8]
D. R. Bild, R. P. Dick, and G. E. Bok. Static NBTI reduction using internal node control. ACM TODAES, 17(4):45--75, 2012.
[9]
C. Chavet et al. A design ow dedicated to multi-mode architectures for DSP applications. In Proc. ICCAD, pages 604--611, 2007
[10]
M. Ebrahimi, F. Oboril, S. Kiamehr, and M. B. Tahoori. Aging-aware logic synthesis. In Proc. ICCAD, pages 61--68, 2013.
[11]
M. Guthaus et al. MiBench: A free, commercially representative embedded benchmark suite. In Proc. WWC, pages 3--14, 2001
[12]
L. Hamers et al. Similarity measures in scientometric research: the Jaccard index versus Salton's cosine formula. Information Processing & Management, 25(3):315--318, 1989.
[13]
A. Koneru, A. Vijayan, K. Chakrabarty, and M. B. Tahoori. Fine-grained aging prediction based on the monitoring of run-time stress using DfT infrastructure. In Proc. ICCAD, pages 51--58, 2015.
[14]
H. Konoura, Y. Mitsuyama, M. Hashimoto, and T. Onoye. Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration. In Proc. ISQED, pages 646--651, 2010.
[15]
J. A. Kumar, K. M. Butler, H. Kim, and S. Vasudevan. Early prediction of NBTI effects using RTL source code analysis. In Proc. DAC, pages 808--813, 2012.
[16]
D. Lorenz, G. Georgakos, and U. Schlichtmann. Aging analysis of circuit timing considering NBTI and HCI. In Proc. IOLTS, pages 3--8, 2009.
[17]
Mentor Graphics, Inc. ModelSim SE 10.2c.
[18]
F. N. Najm. A survey of power estimation techniques in VLSI circuits. IEEE Trans. VLSI Systems, 2:446--455, 1994.
[19]
Si2.org. Nangate 45nm open cell library. http://www.si2.org.
[20]
A. Stempkovsky, A. Glebov, and S. Gavrilov. Calculation of stress probability for NBTI-aware timing analysis. In Proc. ISQED, pages 714--718, 2009.
[21]
Synopsys, Inc. Design Compiler I-2013.06.
[22]
Synopsys, Inc. PrimeTime Fundamental H-2013.06.
[23]
Synopsys, Inc. PrimeTime PX H-2013.06.

Cited By

View all
  • (2024)An Efficient Aged Timing Analysis Method for Digital Integrated Circuit Under NBTI Effect2024 2nd International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA62518.2024.10617875(474-479)Online publication date: 10-May-2024
  • (2023)Aging-Aware Timing Model of CMOS Inverter: Path Level Timing Performance and Its Impact on the Logical EffortIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.323117342:8(2657-2663)Online publication date: 1-Aug-2023
  • (2022)Efficient Analysis for Mitigation of Workload-Dependent Aging DegradationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.314985641:12(5515-5525)Online publication date: Dec-2022
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
GLSVLSI '16: Proceedings of the 26th edition on Great Lakes Symposium on VLSI
May 2016
462 pages
ISBN:9781450342742
DOI:10.1145/2902961
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 18 May 2016

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. NBTI
  2. aging
  3. critical path prediction
  4. path grouping
  5. static timing analysis

Qualifiers

  • Short-paper

Funding Sources

  • Japan Society for the Promotion of Science

Conference

GLSVLSI '16
Sponsor:
GLSVLSI '16: Great Lakes Symposium on VLSI 2016
May 18 - 20, 2016
Massachusetts, Boston, USA

Acceptance Rates

GLSVLSI '16 Paper Acceptance Rate 50 of 197 submissions, 25%;
Overall Acceptance Rate 312 of 1,156 submissions, 27%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)9
  • Downloads (Last 6 weeks)0
Reflects downloads up to 13 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)An Efficient Aged Timing Analysis Method for Digital Integrated Circuit Under NBTI Effect2024 2nd International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA62518.2024.10617875(474-479)Online publication date: 10-May-2024
  • (2023)Aging-Aware Timing Model of CMOS Inverter: Path Level Timing Performance and Its Impact on the Logical EffortIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.323117342:8(2657-2663)Online publication date: 1-Aug-2023
  • (2022)Efficient Analysis for Mitigation of Workload-Dependent Aging DegradationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.314985641:12(5515-5525)Online publication date: Dec-2022
  • (2021)Aging-Aware Gate-Level Modeling for Circuit Reliability AnalysisIEEE Transactions on Electron Devices10.1109/TED.2021.309617168:9(4201-4207)Online publication date: Sep-2021
  • (2021)Lifetime Reliability Improvement of Nano-Scale Digital Circuits Using Dual Threshold Voltage AssignmentIEEE Access10.1109/ACCESS.2021.31032009(114120-114134)Online publication date: 2021
  • (2019)An Efficient Metric-Guided Gate Sizing Methodology for Guardband Reduction Under Process Variations and Aging EffectsJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05772-535:1(87-100)Online publication date: 15-May-2019
  • (2018)Efficient worst-case timing analysis of critical-path delay under workload-dependent aging degradationProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201753(631-636)Online publication date: 22-Jan-2018
  • (2018)Lifetime Reliability-Aware Digital SynthesisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.286182026:11(2205-2216)Online publication date: Nov-2018
  • (2018)A metric-guided gate-sizing methodology for aging guardband reduction2018 IEEE 19th Latin-American Test Symposium (LATS)10.1109/LATW.2018.8349677(1-6)Online publication date: Mar-2018
  • (2018)A study on NBTI-induced delay degradation considering stress frequency dependence2018 19th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2018.8357296(251-256)Online publication date: Mar-2018
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media