Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2966986.2980098guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

OpenRAM: An open-source memory compiler

Published: 07 November 2016 Publication History

Abstract

Computer systems research is often inhibited by the availability of memory designs. Existing Process Design Kits (PDKs) frequently lack memory compilers, while expensive commercial solutions only provide memory models with immutable cells, limited configurations, and restrictive licenses. Manually creating memories can be time consuming and tedious and the designs are usually inflexible. This paper introduces OpenRAM, an open-source memory compiler, that provides a platform for the generation, characterization, and verification of fabricable memory designs across various technologies, sizes, and configurations. It enables research in computer architecture, system-on-chip design, memory circuit and device research, and computer-aided design.

8. References

[1]
B. S. Amrutur and M. A. Horowitz. A replica technique for wordline and sense control in low-power SRAMs. JSSC, 33 (8):1208–1219, Aug 1998.
[2]
R. Broderson. Anatomy of a Silicon Compiler. Springer 1992.
[3]
A. Cabe, Z. Qi, W. Huang, Y. Zhang, M. Stan, and G. Rose. A flexible, technology adaptive memory generation tool. Cadence CDNLive, 2006.
[4]
Dolphin Technology. Memory products. http://www.dolphin-ic.com/memory-products.html. 2015.
[7]
R. Goldman, K. Bartleson, T. Wood, V. Melikyan, and E. Babayan. Synopsys' educational generic memory compiler. In EWME, pages 89–92, May 2014.
[8]
T.-H. Huang, C.-M. Liu, and C.-W. Jen. A high-level synthesizer for VLSI array architectures dedicated to digital signal processing. In International Conference on Acoustics, Speech and Signal Processing, pages 1221–1224, 1991.
[9]
D. Jahannsen. Bristle blocks: A silicon compiler. In DAC, pages 195–198, 1979.
[10]
K. Kushida et al. A 0.7v single-supply SRAM with 0.495 um2 cell in 65nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme. In ISVLSI, pages 46–47, June 2008.
[11]
C. Ming and B. Na. An efficient and flexible embedded memory IP compiler. In CyberC, pages 268–273, Oct 2012.
[12]
S. Miyano et al. Highly energy-efficient SRAM with hierarchical bit line charge-sharing method using non-selected bit line charges. JSSC, 48 (4):924–931, Apr 2013.
[13]
MOSIS. MOSIS scalable CMOS (SCMOS). https://www.mosis.com/files/scmos/scmos.pdf, 2015.
[14]
P. Poechmueller, G. K. Sharma, and M. Glesner. A CAD tool for designing large, fault-tolerant VLSI arrays. In GLSVLSI, 1991.
[15]
T. Shah, FabMem: A multiported RAM and CAM compiler for superscalar design space exploration. Master's thesis. North Carolina State University. 2010.
[16]
N. Shibata, H. Morimura, and M. Watanabe. A 1-V, 10-MHz, 3.5-mw, 1-Mb MTCMOS SRAM with charge-recycling input/output buffers. JSSC, 34 (6):866–877, Jun 1999.
[17]
J. E. Stine et al. FreePDK: An open-source variation-aware design kit. In MSE, pages 173–174, June 2007.
[18]
N. Tamba et al. A 1.5-ns 256-kb BiCMOS SRAM with 60-ps 11-k logic gates. JSSC, 48 (11):1344–1352, Nov 1994.
[19]
S. O. Toh, Z. Guo, T. K. Liu, and B. Nikolic. Characterization of dynamic SRAM stability in 45 nm CMOS. JSSC, 46 (11):2702–2712, Nov 2011.
[20]
M. Wieckowski. GDS Mill User Manual, 2010.
[21]
S. Wu, X. Zheng, Z. Gao, and X. He. A 65nm embedded low power SRAM compiler. In DDECS, pages 123–124, April 2010.
[22]
Y. Xu, Z. Gao, and X. He. A flexible embedded SRAM IP compiler. In ISCAS, pages 3756–3759, May 2007.
[23]
K. Yamaguchi et al. A 1.5-ns access time, 78 um2 memory-cell size, 64-kb ECL-CMOS SRAM. JSSC, 27 (2):167–174, Feb 1992.

Cited By

View all
  • (2024)Design and Test of Offset Quadrature Phase-Shift Keying Modulator with GF180MCU Open Source Process Design KitElectronics10.3390/electronics1309170513:9(1705)Online publication date: 28-Apr-2024
  • (2024)SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546542(1-6)Online publication date: 25-Mar-2024
  • (2024)Design by Open Source Memory Compiler and its Potentialオープンソース・メモリコンパイラの活用とその可能性IEICE ESS Fundamentals Review10.1587/essfr.17.4_26517:4(265-277)Online publication date: 1-Apr-2024
  • Show More Cited By

Index Terms

  1. OpenRAM: An open-source memory compiler
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image Guide Proceedings
    2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
    Nov 2016
    946 pages

    Publisher

    IEEE Press

    Publication History

    Published: 07 November 2016

    Permissions

    Request permissions for this article.

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 16 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Design and Test of Offset Quadrature Phase-Shift Keying Modulator with GF180MCU Open Source Process Design KitElectronics10.3390/electronics1309170513:9(1705)Online publication date: 28-Apr-2024
    • (2024)SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546542(1-6)Online publication date: 25-Mar-2024
    • (2024)Design by Open Source Memory Compiler and its Potentialオープンソース・メモリコンパイラの活用とその可能性IEICE ESS Fundamentals Review10.1587/essfr.17.4_26517:4(265-277)Online publication date: 1-Apr-2024
    • (2024)RISC- Vcito: A Multicycle Tiny Processor Implemented with SKY130 PDK2024 IEEE 67th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS60917.2024.10658692(1388-1392)Online publication date: 11-Aug-2024
    • (2024)On the Versatility of the IHP BiCMOS Open Source and Manufacturable PDK: A step towards the future where anybody can design and build a chipIEEE Solid-State Circuits Magazine10.1109/MSSC.2024.337290716:2(30-38)Online publication date: Sep-2025
    • (2024)BitNN: A Bit-Serial Accelerator for K-Nearest Neighbor Search in Point Clouds2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00095(1278-1292)Online publication date: 29-Jun-2024
    • (2024)Collision Prediction for Robotics Accelerators2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00048(566-581)Online publication date: 29-Jun-2024
    • (2024)TALCO: Tiling Genome Sequence Alignment Using Convergence of Traceback Pointers2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00044(91-107)Online publication date: 2-Mar-2024
    • (2024)Raising Compute Density of Molecular Dynamics Simulation Through Approximate Memoization2024 IEEE 35th International Conference on Application-specific Systems, Architectures and Processors (ASAP)10.1109/ASAP61560.2024.00047(195-203)Online publication date: 24-Jul-2024
    • (2024)Macro Memory Cell Generator for SKY130 PDKIEEE Access10.1109/ACCESS.2024.339347912(59688-59701)Online publication date: 2024
    • Show More Cited By

    View Options

    View options

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media