Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2744769.2744776acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction

Published: 07 June 2015 Publication History

Abstract

As combinations of signoff corners grow in modern SoCs, minimization of clock skew variation across corners is important. Large skew variation can cause difficulties in multi-corner timing closure because fixing violations at one corner can lead to violations at other corners. Such "ping-pong" effects lead to significant power and area overheads and time to signoff. We propose a novel framework encompassing both global and local clock network optimizations to minimize the sum of skew variations across different PVT corners between all sequentially adjacent sink pairs. The global optimization uses linear programming to guide buffer insertion, buffer removal and routing detours. The local optimization is based on machine learning-based predictors of latency change; these are used for iterative optimization with tree surgery, buffer sizing and buffer displacement operators. Our optimization achieves up to 22% total skew variation reduction across multiple testcases implemented in foundry 28nm technology, as compared to a best-practices CTS solution using a leading commercial tool.

References

[1]
C. J. Alpert, A. Devgan and C. Kashyap, "A Two Moment RC Delay Metric for Performance Optimization", Proc. ISPD, 2000, pp. 73--78.
[2]
J. Bhasker and R. Chadha, Static Timing Analysis for Nanometer Designs: A Practical Approach, Springer, 2009.
[3]
C. Chu, "FLUTE: Fast Lookup Table Based Wirelength Estimation Technique", Proc. ICCAD, 2004, pp. 696--701.
[4]
A. Cao, S.-M. Chang and D.-C. Yuan, "Local Clock Skew Optimization", US Patent No. 8,635,579, 2014.
[5]
T.-B. Chan, K. Han, A. B. Kahng, J.-G. Lee and S. Nath, "OCV-Aware Top-Level Clock Tree Optimization", Proc. GLSVLSI, 2014, pp. 33--38.
[6]
M. Cho, S. Ahmed and D. Z. Pan, "TACO: Temperature Aware Clock-tree Optimization", Proc. ICCAD, 2005, pp. 582--587.
[7]
H.-M. Chou, H. Yu and S.-C. Chang, "Useful-Skew Clock Optimization for Multi-Power Mode Designs", Proc. ICCAD, 2011, pp. 647--650.
[8]
S. S. Han, A. B. Kahng, S. Nath and A. Vydyanathan, "A Deep Learning Methodology to Proliferate Golden Signoff Timing", Proc. DATE, 2014, pp. 1--6.
[9]
T. Hastie, R. Tibshirani and J. Friedman, The Elements of Statistical Learning: Data Mining, Inference, and Prediction, Springer, 2009.
[10]
C.-L. Lung, H.-C. Hsiao, Z.-Y. Zeng and S.-Y. Chang, "LP-Based Multi-Mode Multi-Corner Clock Skew Optimization", Proc. VLSI-DAT, 2010, pp. 335--338.
[11]
C.-L. Lung, Z.-Y. Zeng, C.-H. Chou and S.-Y. Chang, "Clock Skew Optimization Considering Complicated Power Modes", Proc. DATE, 2010, pp. 1474--1479.
[12]
A. B. Kahng, J. Lienig, I. L. Markov and J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure, Springer, 2011.
[13]
A. B. Kahng, B. Lin and S. Nath, "Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems", Proc. DATE, 2013, pp. 1861--1866.
[14]
C. V. Kashyap, C. J. Alpert, F. Liu and A. Devgan, "PERI: A Technique for Extending Delay and Slew Metrics to Ramp Inputs", Proc. TAU, 2002, pp. 57--62.
[15]
T. Mittal and C.-K. Koh, "Cross Link Insertion for Improving Tolerance to Variations in Clock Network Synthesis", Proc. ISPD, 2011, pp. 29--36.
[16]
A. Rajaram, J. Hu and R. Mahapatra, "Reducing Clock Skew Variability via Crosslinks", Proc. DAC, 2004, pp. 18--23.
[17]
A. Rajaram and D. Z. Pan, "Variation Tolerant Buffered Clock Network Synthesis with Cross Links", Proc. ISPD, 2006, pp. 157--164.
[18]
P. J. Restle, T. G. McNamara, D. A. Webber, P. J. Camporese, K. F. Eng, K. A. Jenkins, D. H. Allen, M. J. Rohn, M. P. Quaranta, D. W. Boerstler, C. J. Alpert, C. A. Carter, R. N. Bailey, J. G. Petrovick, B. L. Krauter, and B. D. McCredie, "A Clock Distribution Network for Microprocessors", IEEE J. Solid-State Circuits 36(5) (2001), pp. 792--799.
[19]
W. Shi and Z. Li and C. Alpert, "Complexity Analysis and Speedup Techniques for Optimal Buffer Insertion with Minimum Cost", Proc. ASPDAC, 2004, pp. 609--614.
[20]
H. Su and S. S. Sapatnekar, "Hybrid Structured Clock Network Construction", Proc. ICCAD, 2001, pp. 333--336.
[21]
S. Sunder and K. Scholtman, "Multi-Mode Multi-Corner Clocktree Synthesis", US Patent No. US20090217225 A1, 2009.
[22]
G. Venkataraman, N. Jayakumar, J. Hu, P. Li and S. Khatri, "Practical Techniques to Reduce Skew and Its Variations in Buffered Clock Networks", Proc. ICCAD, 2005, pp. 592--596.
[23]
Samsung Electronics Corporation (System LSI application processor principal engineer), personal communication, July 2014.
[24]
"MATLAB." http://www.mathworks.com/products/matlab/
[25]
"Synopsys IC Compiler User Guide."
[26]
"Synopsys PrimeTime User's Manual."

Cited By

View all
  • (2023)A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local ClockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.326479842:11(4164-4176)Online publication date: Nov-2023
  • (2023)Invited Paper: The Inevitability of AI Infusion Into Design Closure and Signoff2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323684(1-7)Online publication date: 28-Oct-2023
  • (2023)Design of Digital Integrated Circuits by Improving the Characteristics of Digital CellsMachine Learning-based Design and Optimization of High-Speed Circuits10.1007/978-3-031-50714-4_6(279-336)Online publication date: 31-Dec-2023
  • Show More Cited By

Index Terms

  1. A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '15: Proceedings of the 52nd Annual Design Automation Conference
    June 2015
    1204 pages
    ISBN:9781450335201
    DOI:10.1145/2744769
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 07 June 2015

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tag

    1. optimization

    Qualifiers

    • Research-article

    Conference

    DAC '15
    Sponsor:
    DAC '15: The 52nd Annual Design Automation Conference 2015
    June 7 - 11, 2015
    California, San Francisco

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)12
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 14 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local ClockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.326479842:11(4164-4176)Online publication date: Nov-2023
    • (2023)Invited Paper: The Inevitability of AI Infusion Into Design Closure and Signoff2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323684(1-7)Online publication date: 28-Oct-2023
    • (2023)Design of Digital Integrated Circuits by Improving the Characteristics of Digital CellsMachine Learning-based Design and Optimization of High-Speed Circuits10.1007/978-3-031-50714-4_6(279-336)Online publication date: 31-Dec-2023
    • (2022)Performance Analysis on Skew Optimized Clock Tree Synthesis2022 Fourth International Conference on Emerging Research in Electronics, Computer Science and Technology (ICERECT)10.1109/ICERECT56837.2022.10059632(01-06)Online publication date: 26-Dec-2022
    • (2021)Practical Full Chip Clock Distribution Design With a Flexible Topology and Hybrid Metaheuristic TechniqueIEEE Access10.1109/ACCESS.2021.30530529(14816-14835)Online publication date: 2021
    • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Feb-2020
    • (2019)Clock Skew Optimization for Voltage Variation2019 China Semiconductor Technology International Conference (CSTIC)10.1109/CSTIC.2019.8755674(1-3)Online publication date: Mar-2019
    • (2019)Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix10th International Conference on Robotics, Vision, Signal Processing and Power Applications10.1007/978-981-13-6447-1_25(195-201)Online publication date: 2-Apr-2019
    • (2018)OCV guided clock tree topology reconstructionProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201726(494-499)Online publication date: 22-Jan-2018
    • (2018)New directions for learning-based IC design tools and methodologiesProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201708(405-410)Online publication date: 22-Jan-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media