Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

ULP-SRP: Ultra Low-Power Samsung Reconfigurable Processor for Biomedical Applications

Published: 03 September 2014 Publication History

Abstract

The latest biomedical applications require low energy consumption, high performance, and wide energy-performance scalability to adapt to various working environments. In this study, we present ULP-SRP, an energy-efficient reconfigurable processor for biomedical applications. ULP-SRP uses a Coarse-Grained Reconfigurable Array (CGRA) for high-performance data processing with low energy consumption. We adopted a compact-size CGRA and modified it to support dynamically switchable three performance modes with fine-grained power gating in order to further optimize the energy consumption. The energy-performance scalability is also accomplished with multiple performance modes and a Unified Memory Architecture (UMA). Experimental results show that ULP-SRP achieved 59% energy reduction compared to previous works. A technique of dynamic CGRA mode changing gives 18.9% energy reduction. ULP-SRP is a good candidate for future mobile healthcare devices.

References

[1]
Maryam Ashouei, Jos Hulzink, Mario Konijnenburg, Jun Zhoa, Filipa Duarte, et al. 2011. A voltage-scalable biomedical signal processor running ECG at 13pj/cycle 1MHZ 0.4v. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'11).
[2]
Gregory Chen, Mathew Fojtik, Daeyeon Kim, David Fick, Junsun Park, et al. 2010. Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'10).
[3]
Filipa Duarte, Jos Hulzink, Jun Zhao, Jan Stuijt, Jos Huisken, and Harmke De Groot. 2011. A 36uW heartbeat-detection processor for a wireless sensor node. ACM Trans. Des. Autom. Electron. Syst. 16, 4.
[4]
Shu-Yu Hsu, Yao-Lin Chen, Po-Yoa Chang, Jui-Yuan Yu, Ten-Fang Yang, Ray-Jade Chen, and Chen-Yi Lee. 2011. A micropower biomedical signal processor for mobile healthcare applications. In Proceedings of the IEEE Asian Solid-State Circuits Conference.
[5]
Wonsub Kim, Donghoon Yoo, Haewoo Park, and Minwook Ahn. 2012. SCC based modulo scheduling for coarse-grained reconfigurable processors. In Proceedings of the International Conference on Field-Programmable Technology (ICFPT'12).
[6]
Sangjo Lee, Joonho Song, Minsoo Kim, Dohyung Kim, and Shihwa Lee. 2011. H.264/avc UHD decoder implementation on multi-cluster platform using hybrid parallelization method. In Proceedings of the 18th IEEE International Conference on Image Processing.
[7]
Bingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, and Rudy Lauwereins. 2003. ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL'03).
[8]
Taewook Oh, Bernhard Egger, Hyunchul Park, and Scott Mahlke. 2009. Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. In Proceedings of the International Conference on Languages, Compilers, Tools and Theory for Embedded Systems (LCTES'09).
[9]
B. Ramakrishna Rau. 1994. Iterative modulo scheduling: An algorithm for software pipelining loops. In Proceedings of the 27th International Symposium on Microarchitecture (MICRO'94).
[10]
Inaki Romero, Bernard Grundlehner, Julien Penders, Jos Huisken, and Yahya H. Yassin. 2009. Low-power robust beat detection in ambulatory cardiac monitoring. In Proceedings of the IEEE Biomedical Circuits and Systems Conference.
[11]
Srinivasa R. Sridhara, Michael Direnzo, Srinivas Lingam, Seok-Jun Lee, Raul Ruiz Blazquez, et al. 2011. Microwatt embedded processor platform for medical system-on-chip applications. IEEE J. Solid-State Circ. 46, 4.
[12]
Refet Firat Yazicioglu, Patrick Merken, Robert Puers, and Chris Van Hoof. 2008. A 200μ W eight-channel acquisition ASIC for ambulatory EEG systems. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'08).
[13]
Refet Firat Yazicioglu, Sunyoung Kim, Tom Torfs, Patrick Merken, and Chris Van Hoof. 2010. A 30μ W analog signal processor ASIC for biomedical signal monitoring. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'10).
[14]
Lennart Yseboodt, Michael De Nil, Jos Huisken, Mladen Berekovic, Quin Zhao, et al. 2007. Design of 100uW wireless sensor nodes for biomedical monitoring. J. Signal Process. Syst. 57, 1, 107--119.
[15]
Xiaodan Zou, Xiaoyuan Xu, Libin Yao and Yong Lian. 2009. A 1-v 450-nw fully integrated programmable biomedical sensor interface chip. IEEE J. Solid-State Circ. 44, 4.

Cited By

View all
  • (2024)CAD Techniques for NoC-Connected Multi-CGRA SystemsProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665297(109-118)Online publication date: 19-Jun-2024
  • (2024)SAT-Based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAsACM Journal on Emerging Technologies in Computing Systems10.1145/366367520:3(1-26)Online publication date: 22-May-2024
  • (2024)R-Blocks: an Energy-Efficient, Flexible, and Programmable CGRAACM Transactions on Reconfigurable Technology and Systems10.1145/365664217:2(1-34)Online publication date: 8-Apr-2024
  • Show More Cited By

Index Terms

  1. ULP-SRP: Ultra Low-Power Samsung Reconfigurable Processor for Biomedical Applications

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Reconfigurable Technology and Systems
    ACM Transactions on Reconfigurable Technology and Systems  Volume 7, Issue 3
    Special Issue on 11th International Conference on Field-Programmable Technology (FPT'12) and Special Issue on the 7th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC'12)
    August 2014
    199 pages
    ISSN:1936-7406
    EISSN:1936-7414
    DOI:10.1145/2664590
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 September 2014
    Accepted: 01 March 2014
    Revised: 01 December 2013
    Received: 01 July 2013
    Published in TRETS Volume 7, Issue 3

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Wireless sensor node
    2. biomedical
    3. coarse grained
    4. low power
    5. power gating
    6. reconfigurable processor

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)65
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 19 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)CAD Techniques for NoC-Connected Multi-CGRA SystemsProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665297(109-118)Online publication date: 19-Jun-2024
    • (2024)SAT-Based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAsACM Journal on Emerging Technologies in Computing Systems10.1145/366367520:3(1-26)Online publication date: 22-May-2024
    • (2024)R-Blocks: an Energy-Efficient, Flexible, and Programmable CGRAACM Transactions on Reconfigurable Technology and Systems10.1145/365664217:2(1-34)Online publication date: 8-Apr-2024
    • (2024)GREEN: An Approximate SIMD/MIMD CGRA for Energy-Efficient Processing at the EdgeIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.338334943:10(2874-2887)Online publication date: Oct-2024
    • (2024)Dual Use Circuitry for Early Failure Warning and Test2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528709(1-8)Online publication date: 3-Apr-2024
    • (2024)Coarse-grained reconfigurable architectures for radio baseband processing: A surveyJournal of Systems Architecture10.1016/j.sysarc.2024.103243154(103243)Online publication date: Sep-2024
    • (2023)Improving Energy Efficiency of CGRAs with Low-Overhead Fine-Grained Power DomainsACM Transactions on Reconfigurable Technology and Systems10.1145/355839416:2(1-28)Online publication date: 2-Apr-2023
    • (2023)Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded SystemsIEEE Transactions on Computers10.1109/TC.2023.325750472:9(2548-2560)Online publication date: 1-Sep-2023
    • (2023)FLEX: Introducing FLEXible Execution on CGRA with Spatio-Temporal Vector Dataflow2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323612(1-9)Online publication date: 28-Oct-2023
    • (2022)VWR2AProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530980(895-900)Online publication date: 10-Jul-2022
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media