Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1450135.1450196acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint

Published: 19 October 2008 Publication History

Abstract

We study the problem of scheduling repetitive real-time tasks with the Earliest Deadline First (EDF) policy that can guarantee the given maximal temperature constraint. We show that the traditional scheduling approach, i.e., to repeat the schedule that is feasible through the range of one hyper-period, does not apply any more. Then, we present necessary and sufficient conditions for real-time schedules to guarantee the maximal temperature constraint. Based on these conditions, a novel scheduling algorithm is proposed for developing the appropriate schedule that can ensure the maximal temperature guarantee. Finally, we use experiments to evaluate the performance of our approach.

References

[1]
N. Bansal, T. Kimbrel, and K. Pruhs. Speed scaling to manage energy and temperature. Journal of the ACM, 54(1):1--39, 2007.
[2]
J. Chen, C. Hung, and T. Kuo. On the minimization of the instantaneous temperature for periodic real-time tasks. RTAS, pages 236--248, 2007.
[3]
A. Cohen, F. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy. On estimating optimal performance of cpu dynamic thermal management. IEEE Computer Architecture Letter, 2(1):6--9, 2003.
[4]
A. K. Coskun, T. Rosing, and K. Whisnant. Temperature aware task scheduling in mpsocs. DATE, pages 1659--1664, 2007.
[5]
Intel Corporation. Inel core 2 extreme quad-core processor qx6800 datasheet. 2007.
[6]
ITRS. International Technology Roadmap for Semiconductors (2005 Edition). International SEMATECH, Austin, TX., http://public.itrs.net/.
[7]
Y. Liu, H. Yang, R. P. Dick, H. Wang, and L. Shang. Thermal vs energy optimization for dvfs-enabled processors in embedded systems. ISQED, 2007.
[8]
J. Marko. Intel's big shift after hitting technical wall. New York Times, 2004.
[9]
S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, and G. D. Micheli. Temperature-aware processor frequency assignment for mpsocs using convex optimization. CODES+ISSS, pages 111--116, 2007.
[10]
L. Shang, L. Peh, A. Kumar, and N. Jha. Thermal modeling, characterization and management of on-chip networks. ISM, pages 67--78, 2004.
[11]
K. Skadron. Hybrid architectural dynamic thermal management. DATE, pages 10--15, 2004.
[12]
K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware computer systems: opportunities and challenges. IEEE Micro, 23(6):52--61, 2003.
[13]
K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. ICSA, pages 2--13, 2003.
[14]
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim., 1(1):94--125, 2004.
[15]
S. Wang and R. Bettati. Delay analysis in temperature-constrained hard real-time systems with general task arrivals. RTSS, pages 323--334, 2006.
[16]
S. Wang and R. Bettati. Reactive speed control in temperature-constrained real-time systems. ECRTS, pages 161--170, 2006.
[17]
A. Weissel and F. Bellosa. Dynamic thermal management for distributed systems. TACS, 2004.
[18]
F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced cpu energy. In AFCS, pages 374--382, 1995.
[19]
L.-T. Yeh and R. C. Chu. Thermal Management of Microelectronic Equipment: Heat Transfer Theory, Analysis Methods, and Design Practices. ASME Press, New York, NY, 2002.
[20]
C. Zweben. Ultrahigh-thermal-conductivity packaging materials. SEMI-THERM, pages 168--174, 2005.

Cited By

View all
  • (2021)Thermal-Aware Design and Management of Embedded Real-Time Systems2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474042(1252-1255)Online publication date: 1-Feb-2021
  • (2019)Temperature Minimization and Thermal-Driven Scheduling for Real-Time Periodic TasksJournal of Signal Processing Systems10.1007/s11265-018-1390-791:6(685-700)Online publication date: 1-Jun-2019
  • (2016)Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks Under Fluid Scheduling ModelACM Transactions on Embedded Computing Systems10.1145/288361215:3(1-26)Online publication date: 23-May-2016
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
CODES+ISSS '08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
October 2008
288 pages
ISBN:9781605584706
DOI:10.1145/1450135
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 19 October 2008

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. energy consumption
  2. maximal temperature
  3. real-time scheduling
  4. thermal aware

Qualifiers

  • Research-article

Conference

ESWEEK 08
ESWEEK 08: Fourth Embedded Systems Week
October 19 - 24, 2008
GA, Atlanta, USA

Acceptance Rates

CODES+ISSS '08 Paper Acceptance Rate 44 of 143 submissions, 31%;
Overall Acceptance Rate 280 of 864 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Thermal-Aware Design and Management of Embedded Real-Time Systems2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474042(1252-1255)Online publication date: 1-Feb-2021
  • (2019)Temperature Minimization and Thermal-Driven Scheduling for Real-Time Periodic TasksJournal of Signal Processing Systems10.1007/s11265-018-1390-791:6(685-700)Online publication date: 1-Jun-2019
  • (2016)Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks Under Fluid Scheduling ModelACM Transactions on Embedded Computing Systems10.1145/288361215:3(1-26)Online publication date: 23-May-2016
  • (2015)Temperature-Aware Data Allocation for Embedded Systems with Cache and Scratchpad MemoryACM Transactions on Embedded Computing Systems10.1145/262965014:2(1-24)Online publication date: 9-Mar-2015
  • (2015)Thermal Extension of the Total Bandwidth Server2015 28th International Conference on VLSI Design10.1109/VLSID.2015.13(47-52)Online publication date: Jan-2015
  • (2015)Joint Work and Voltage/Frequency Scaling for Quality-Optimized Dynamic Thermal ManagementIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.233374123:6(1017-1030)Online publication date: Jun-2015
  • (2014)Throughput maximization for periodic real-time systems under the maximal temperature constraintACM Transactions on Embedded Computing Systems10.1145/2544375.254439013:2s(1-22)Online publication date: 27-Jan-2014
  • (2014)Temperature Minimization Using Power Redistribution in Embedded SystemsProceedings of the 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems10.1109/VLSID.2014.52(264-269)Online publication date: 5-Jan-2014
  • (2014)Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time TasksProceedings of the 2014 Agile Conference10.1109/ECRTS.2014.15(243-252)Online publication date: 28-Jul-2014
  • (2014)Predictive Thermal Control for Real-Time Video DecodingProceedings of the 2014 Agile Conference10.1109/ECRTS.2014.13(233-242)Online publication date: 28-Jul-2014
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media