Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1165573.1165637acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Substituting associative load queue with simple hash tables in out-of-order microprocessors

Published: 04 October 2006 Publication History

Abstract

Buffering more in-flight instructions in an out-of-order microprocessor is a straightforward and effective method to help tolerate the long latencies generally associated with off-chip memory accesses. One of the main challenges of buffering a large number of instructions, however, is the implementation of a scalable and efficient mechanism to detect memory access order violations as a result of out-of-order scheduling of load and store instructions. Traditional CAM-based associative queues can be very slow and energy consuming. In this paper, instead of using the traditional age-based load queue to record load addresses, we explicitly record age information in address-indexed hash tables to achieve the same functionality of detecting premature loads. This alternative design eliminates associative searches and significantly reduces the energy consumption of the load queue. With simple techniques to reduce the number of false positives, performance degradation is kept at a minimum.

References

[1]
H. Akkary, R. Rajwar, and S. Srinivasan. Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. In International Symposium on Microarchitecture. December 2003.
[2]
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In International Symposium on Computer Architecture. June 2000.
[3]
D. Burger and T. Austin. The SimpleScalar Tool Set, Version 2.0. Technical report 1342, Computer Sciences Department, University of Wisconsin-Madison, June 1997.
[4]
H. Cain and M. Lipasti. Memory Ordering: A Value-based Approach. In International Symposium on Computer Architecture. June 2004.
[5]
F. Castro, D. Chaver, L. Pinuel, M. Prieto, M. Huang, and F. Tirado. A Power-Efficient and Scalable Load-Store Queue Design. In International Workshop on Power And Timing Modeling, Optimization and Simulation. September 2005. Lecture Notes in Computer Science Vol. 2236(8):1--9.
[6]
F. Castro, D. Chaver, L. Pinuel, M. Prieto, M. Huang, and F. Tirado. Load-Store Queue Management: an Energy Efficient Design based on a State Filtering Mechanism. In International Conference on Computer Design. October 2005.
[7]
Compaq Computer Corporation. Alpha 21264/EV6 Microprocessor Hardware Reference Manual, September 2000. Order number: DS-0027B-TE.
[8]
A. Gandhi, H. Akkary, R. Rajwar, S. Srinivasan, and K. Lai. Scalable Load and Store Processing in Latency Tolerant Processors. In International Symposium on Computer Architecture. June 2005.
[9]
A. Garg, M. Rashid, and M. Huang. Slackened Memory Dependence Enforcement: Combining Opportunistic Forwarding with Decoupled Verification. In International Symposium on Computer Architecture. June 2006.
[10]
R. Huang, A. Garg, and M. Huang. Software-Hardware Cooperative Memory Disambiguation. In International Symposium on High-Performance Computer Architecture. February 2006.
[11]
I. Park, C. Ooi, and T. Vijaykumar. Reducing Design Complexity of the Load/Store Queue. In International Symposium on Microarchitecture. December 2003.
[12]
A. Roth. Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. In International Symposium on Computer Architecture. June 2005.
[13]
S. Sethumadhavan, R. Desikan, D. Burger, C. Moore, and S. Keckler. Scalable Hardware Memory Disambiguation for High ILP Processors. In International Symposium on Microarchitecture. December 2003.
[14]
T. Sha, M. Martin, and A. Roth. Scalable Store-Load Forwarding via Store Queue Index Prediction. In International Symposium on Microarchitecture. December 2005.
[15]
S. Stone, K. Woley, and M. Frank. Address-Indexed Memory Disambiguation and Store-to-Load Forwarding. In International Symposium on Microarchitecture. December 2005.
[16]
J. Tendler, J. Dodson, J. Fields, H. Le, and B. Sinharoy. POWER4 System Microarchitecture. IBM Journal of Research and Development, Vol. 46(1):5--25, January 2002.
[17]
E. Torres, P. Ibanez, V. Vinals, and J. Llaberia. Store Buffer Design in First-Level Multibanked Data Caches. In International Symposium on Computer Architecture. June 2005.

Cited By

View all
  • (2023)CELLO: Compiler-Assisted Efficient Load-Load Ordering in Data-Race-Free Regions2023 32nd International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT58117.2023.00009(1-13)Online publication date: 21-Oct-2023
  • (2010)FederationACM Transactions on Architecture and Code Optimization10.1145/1880043.18800467:4(1-38)Online publication date: 30-Dec-2010
  • (2009)Using age registers for a simple load-store queue filteringJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2008.09.00555:2(79-89)Online publication date: 1-Feb-2009
  • Show More Cited By

Index Terms

  1. Substituting associative load queue with simple hash tables in out-of-order microprocessors

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '06: Proceedings of the 2006 international symposium on Low power electronics and design
    October 2006
    446 pages
    ISBN:1595934626
    DOI:10.1145/1165573
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 04 October 2006

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. LSQ
    2. hash table
    3. memory disambiguation
    4. scalability

    Qualifiers

    • Article

    Conference

    ISLPED06
    Sponsor:
    ISLPED06: International Symposium on Low Power Electronics and Design
    October 4 - 6, 2006
    Bavaria, Tegernsee, Germany

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 24 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)CELLO: Compiler-Assisted Efficient Load-Load Ordering in Data-Race-Free Regions2023 32nd International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT58117.2023.00009(1-13)Online publication date: 21-Oct-2023
    • (2010)FederationACM Transactions on Architecture and Code Optimization10.1145/1880043.18800467:4(1-38)Online publication date: 30-Dec-2010
    • (2009)Using age registers for a simple load-store queue filteringJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2008.09.00555:2(79-89)Online publication date: 1-Feb-2009
    • (2006)SEEDProceedings of the 15th international conference on Parallel architectures and compilation techniques10.1145/1152154.1152193(254-264)Online publication date: 16-Sep-2006
    • (2006)DMDCProceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2006.21(297-308)Online publication date: 9-Dec-2006

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media