Nothing Special   »   [go: up one dir, main page]

"Minimum implant area-aware placement and threshold voltage refinement."

Seong-I Lei, Wai-Kei Mak, Chris Chu (2016)

Details and statistics

DOI: 10.1109/ASPDAC.2016.7428010

access: closed

type: Conference or Workshop Paper

metadata version: 2017-05-26