Nothing Special   »   [go: up one dir, main page]

(PDF Download) Digital Systems Design Using Verilog 1st Edition Roth Solutions Manual Fulll Chapter

Download as pdf or txt
Download as pdf or txt
You are on page 1of 48

Full download test bank at ebook testbankdeal

Digital Systems Design Using Verilog 1st Edition


Roth Solutions Manual

https://testbankdeal.com/product/digital-systems-design-
using-verilog-1st-edition-roth-solutions-manual/

OR CLICK BUTTON

DOWLOAD EBOOK

Download More ebooks from https://testbankdeal.com


More products digital (pdf, epub, mobi) instant
download maybe you interests ...

Digital Systems Design Using VHDL 3rd Edition Roth


Solutions Manual

https://testbankdeal.com/product/digital-systems-design-using-
vhdl-3rd-edition-roth-solutions-manual/

Advanced Digital Design With The Verilog Hdl 2nd


Edition Ciletti Solutions Manual

https://testbankdeal.com/product/advanced-digital-design-with-
the-verilog-hdl-2nd-edition-ciletti-solutions-manual/

Digital Design With an Introduction to the Verilog HDL


VHDL and SystemVerilog 6th Edition Mano Solutions
Manual

https://testbankdeal.com/product/digital-design-with-an-
introduction-to-the-verilog-hdl-vhdl-and-systemverilog-6th-
edition-mano-solutions-manual/

Fundamentals of Logic Design 7th Edition Roth Solutions


Manual

https://testbankdeal.com/product/fundamentals-of-logic-
design-7th-edition-roth-solutions-manual/
Digital Design 5th Edition Mano Solutions Manual

https://testbankdeal.com/product/digital-design-5th-edition-mano-
solutions-manual/

Communication Systems Analysis and Design 1st Edition


Stern Solutions Manual

https://testbankdeal.com/product/communication-systems-analysis-
and-design-1st-edition-stern-solutions-manual/

Digital Signal Processing using MATLAB 3rd Edition


Schilling Solutions Manual

https://testbankdeal.com/product/digital-signal-processing-using-
matlab-3rd-edition-schilling-solutions-manual/

Microwave Circuit Design A Practical Approach Using ADS


1st Edition Yeom Solutions Manual

https://testbankdeal.com/product/microwave-circuit-design-a-
practical-approach-using-ads-1st-edition-yeom-solutions-manual/

Digital Design and Computer Architecture 2nd Edition


Harris Solutions Manual

https://testbankdeal.com/product/digital-design-and-computer-
architecture-2nd-edition-harris-solutions-manual/
Chapter 6: Designing with Field Programmable Gate Arrays
6.1 (a) 4 Cells, if N is used as the clock enable. When N = 1 then
X0+ = S' D0 + S X1 (3 variable function) (two 3 variable functions
X1+ = S' D1 + S X2 (3 variable function) will fit into one cell)
If the clock enable is not used each bit requires a separate cell: 8 cells total.
X0+ = N S' D0 + N S X1 + N' X0 (5 variable function)

(b)

(c) X function generator output = X0+ = S' D0 + S X1


Y function generator output = X1+ = S' D1 + S X2

6.2 (a) QA0+ = En (Ld U + Ld' QA0') + En' QA0 = En (X) + En' QA0
QA1+ = En (Ld V + Ld' (QA0  QA1) + En' QA1 = En (Y) + En' QA1

(b)

X = Ld U + Ld' QA0'
Y = Ld V + Ld' (QA0  QA1)

6.3 (a) Q2+ = EN' Q2 + EN (Ld D2 + Ld' Q3)


Q1+ = EN' Q1 + EN (Ld D1 + Ld' Q2)

123
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Q0+ = EN' Q0 + EN (Ld D0 + Ld' Q1)
(b) Two cells

(c) Y = Ld D3 + Ld' Si
X = Ld D2 + Ld' Q3

6.4 (a) The next state equation of Q1 can be implemented using the X function generator with the inputs
R, S, Q1, and Q2. The next state equation of Q2 can be implemented using the Y function
generator with the inputs T, Q1, and Q2. The output P can be implemented using the Z function
generator with the inputs T (C input) and the X function generator.

(b)

6.5 (a) M = S2'S1'S0'I0 + S2'S1'S0I1 + S2'S1S0'I2 + S2'S1S0I3 + S2S1'S0'I4 + S2S1'S0I5 + S2S1S0'I6 + S2S1S0I7

The 8-to-1 MUX can be decomposed into seven 2-to-1 MUXes, and implemented in four Figure
6-1(a) logic blocks.

124
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
M = S2'MX + S2MY
Mx = S1'M1 + S1M2
MY = S1’M3 + S1M4
M1 = S0'I0 + S0I1
M2 = S0'I2 + S0I3
M3 = S0'I4 + S0I5
M4 = S0'I6 + S0I7

The X and Y functions for each block each implement one 2-to-1 mux as labeled:

125
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(b) Three 2-to-1 MUXes (or a 4-to-1 mux) can be implemented in each Figure 6-3 logic block. In
total, three blocks are required to implement seven 2-to-1 MUXes. The X, Y, and Z function
generators for each block implement a 2-to-1 MUX as labeled:

(c) Each function generator used implements a 2-to-1 mux, and has the same LUT contents:
0, 1, 0, 1, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 1, 1

(d) Each function generator used implements a 2-to-1 mux


X and Y LUT4s have 0, 0, 0, 0, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1
Z LUT3s have 0, 0, 1, 1, 0, 1, 0, 1 (Consider C as MSB, Y as LSB)

126
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.6 (a) module Figure6_1a(X_in, Y_in, clk, CE, Qx, Qy, X, Y, XLUT, YLUT);
input [1:4] X_in, Y_in;
input clk, CE;
input [0:15] XLUT, YLUT;
inout X, Y;
output Qx, Qy;

reg Qx, Qy;


wire [1:4] X_Index, Y_Index;

initial begin
Qx = 1'b0;
Qy = 1'b0;
end

assign X_Index = {X_in[4], X_in[3], X_in[2], X_in[1]};


assign Y_Index = {Y_in[4], Y_in[3], Y_in[2], Y_in[1]};
assign X = XLUT[X_Index];
assign Y = YLUT[Y_Index];

always @(posedge clk)


begin
if(CE == 1'b1) begin
Qx <= X;
Qy <= Y;
end
end
endmodule

(b) module LUT_Mux(I0, I1, I2, I3, S0, S1, M);


input I0, I1, I2, I3, S0, S1;
output M;

wire Qx1, Qy1, Qx2, Qy2, MM;


wire [1:4] in1, in2, in3;
wire M1, M2, Mout;

assign in1 = {I0, I1, S0, 1'b0};


assign in2 = {I2, I3, S0, 1'b0};
assign in3 = {M1, M2, S1, 1'b0};
assign M = Mout;

Figure6_1a B0(in1, in2,1'b0, 1'b0, Qx1, Qy1, M1, M2,


16'b0101001101010011,
16'b0101001101010011);
Figure6_1a B1(in3, 4'b0000, 1'b0, 1'b0, Qx2, Qy2, Mout, MM,
16'b0101001101010011,
16'b0000000000000000);
endmodule

6.7 (a) module Figure6_3(X_in, Y_in, clk, CE, C, Qx, Qy, X, Y, XLUT, YLUT,
ZLUT,
SA, SB, SC, SD);
input [1:4] X_in, Y_in;
input clk, CE, C;
input [0:15] XLUT, YLUT;
input [0:7] ZLUT;
input SA, SB, SC, SD;
output X, Y;
output reg Qx, Qy;

127
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
initial begin
Qx = 1'b0;
Qy = 1'b0;
end

wire [1:4] X_Index, Y_Index;


wire [1:3] Z_Index;
wire X_int, Y_int, Z_int;
wire MuxA, MuxB, MuxC, MuxD;

assign X_Index = {X_in[4], X_in[3], X_in[2], X_in[1]};


assign Y_Index = {Y_in[4], Y_in[3], Y_in[2], Y_in[1]};
assign Z_Index = {Y_int, X_int, C};
assign X_int = XLUT[X_Index];
assign Y_int = YLUT[Y_Index];
assign Z_int = ZLUT[Z_Index];

assign MuxA = (SA == 1'b0)? X_int : Z_int;


assign MuxB = (SB == 1'b0)? X_int : Z_int;
assign MuxC = (SC == 1'b0)? Y_int : Z_int;
assign MuxD = (SD == 1'b0)? Y_int : Z_int;

assign X = MuxB;
assign Y = MuxD;

always @(posedge clk)


begin
if(CE == 1'b1) begin
Qx <= MuxA;
Qy <= MuxC;
end
end

endmodule

(b) module Code_Converter(X, clk, Z);


input X, clk;
output Z;

wire Q1, Q2, Q3, Zout;


wire [3:0] D_in;
wire T1, T2, T3, T4;

assign in = {X, Q1, Q2, Q3};


assign Z = Zout;

Figure6_3 B0(D_in, D_in, clk, 1'b1, 1'b0, Q3, Q2, T1, T2,
16'b0001111111000000,
16'b0110000001000000, 8'b00000000, 1'b0, 1'b0, 1'b0,
1'b0);
Figure6_3 B1(D_in, D_in, clk, 1'b1, 1'b0, Q1, T3, T4, Zout,
16'b1010001110000000,
16'b1010010110011000, 8'b00000000, 1'b0, 1'b0, 1'b0,
1'b0);
endmodule

6.8 (a) A 4-to-16 decoder requires 16 outputs, and each function needs no more than 4-variables. 8
Figure 6-1 (a) logic blocks are required.

(b) X-Function LUT: 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0


Y-Function LUT: 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0
128
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.9 (a) 4 logic blocks are required, 8 LUT4’s (See Figure 3-6 for truth table).
a = n7 + n6 + n5 +n4
b1 = n5'n4' (n3 + n2)
b = n7 + n6 + b1
c1 = n5 + n4'n3 + n4'n2'n1
c = n7 + n6'c1
d1 = n3 + n2 + n1 + n0
d2 = n7 + n6 + n5 + n4
d = d 2 + d1

(b) F = a, X3 = n7, X2 = n6, X1 = n5, X0 = n4 G = b1, Y3 = n5, Y2 = n4, Y1 = n3, Y0 = n2


X3 X2 X1 X0 F Y3 Y2 Y1 Y0 G
0 0 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 0 1 1
0 0 1 0 1 0 0 1 0 1
0 0 1 1 1 0 0 1 1 1
0 1 0 0 1 0 1 0 0 0
0 1 0 1 1 0 1 0 1 0
0 1 1 0 1 0 1 1 0 0
0 1 1 1 1 0 1 1 1 0
1 0 0 0 1 1 0 0 0 0
1 0 0 1 1 1 0 0 1 0
1 0 1 0 1 1 0 1 0 0
1 0 1 1 1 1 0 1 1 0
1 1 0 0 1 1 1 0 0 0
1 1 0 1 1 1 1 0 1 0
1 1 1 0 1 1 1 1 0 0
1 1 1 1 1 1 1 1 1 0

6.10 Expanding F around X6 results in 4 variable functions which can be realized using one function
generator each.

F = X6 (X1' X2 X3 + X2 X3' X4' + X2 X3 X4') + X6' (X2' X3' X4 + X2 X3' X4' + X3' X4 X5) + X7
F = X6 (F1) + X6' (F2) + X7

For block one: X LUT has inputs X1, X2, X3, and X4 and realizes F1 = X1' X2 X3 + X2 X3' X4' + X2 X3
X4'.
Y LUT has inputs X2, X3, X4, and X5 and realizes F2 = X2' X3' X4 + X2 X3' X4' + X3' X4 X5

For block two: X LUT has the outputs of block one’s X LUT (F 1) and Y LUT (F2), X6, and X7 as
inputs. The X LUT realizes F = X6 (F1) + X6' (G1) + X7. The Y LUT is unused.

6.11 Expanding Q+ around U Q results in 4 variable equations which can be realized using one function
generator each.

Q+ = U Q (V' W + X' Y + V W') + U' Q' (V X' Y' + V' Y + X Y + V' X)


Q+ = U Q (Xfunc) + U' Q'(Yfunc)

Mark connections in a manner similar to Problem 6.1’s solution.

For block one: X LUT has inputs V, W, X, and Y and realizes V' W + X' Y + V W'
Y LUT has inputs V, X, and Y and realizes V X' Y' + V' Y + X Y + V' X

129
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
For block two: X LUT has U, Q, and block one’s Xfunc and Yfunc as inputs and realizes
Q+ = U Q (Xfunc) + U' Q'(Yfunc)

6.12 To realize the next-state equations, we need to use at least four Kintex logic slices (Figure 6-13).
One Kintex logic slice is ¼ CLB. Therefore, only 1 CLB is needed.

6.13 One cell. Expanding around X5 results in 4 variable equations which can be realized using one
function generator each and X5 can be used as the C input.

X = X5 (X1' X2' X3' X4' + X1 X2 X3 X4) + X5' (X6 X7' X8' X9 + X6' X7 X8 X9')
Xfunc = (X1' X2' X3' X4' + X1 X2 X3 X4)
Yfunc = (X6 X7' X8' X9 + X6' X7 X8 X9')
Zfunc = X5 (Xfunc) + X5' (Yfunc)

130
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.14

6.15 (a) Expanding Z around Y results in 4 variable equations which can be realized using one function
generator each.
Z = Y (V W' X + U' V' W) + Y' (V W' X + T V' W)
Z = Zfunc = Y (Xfunc) + Y' (Yfunc)
131
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Implement internal logic cell connections in a manner similar to Problem 6.12 Solution with U,
V, W, and X as inputs to the X-function generator, T, V, W, and X as inputs to the Y-function
generator and Y as the C input.

(b) The original equation can be implemented as follows:

Block 1: X-LUT has inputs U, V, W, X and realizes V W' X + U' V' W


Y-LUT has inputs T, V, W, X and realizes V W' X + T V' W

Block 2: X-LUT has Y and Block 1’s Xfunc and Yfunc as inputs and realizes Z = Y (Xfunc) + Y'
(Yfunc)
Y-LUT is unused

6.16 F = X6 (X1' X2 X3' X4 + X2' X4' + X3 X4 X5 + X1 X3) + X6' (X2' X3' X4 + X2 X4 + X3' X4 + X1 X3)

6.17 Y = a'b'Y00 + a'bY01 + ab'Y10 + abY11

Y00 = Ya=0,b=0 = cde'f + c'def


Y01 = Ya=0,b=1 = cde'f + cdef ' + c'de'f
Y10 = Ya=1,b=0 = cde'f + cd 'ef '
Y11 = Ya=1,b=1 = cde + cde'f + cdef ' + cd 'e'f

132
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.18 Y = e'f ' Y00 + e'f Y01 + ef ' Y10 + efY11

Y00 = 0
Y01 = abcd
Y10 = a' bc'd ' + b'c'
Y11 = ab'cd + a'bc'd'

6.19 (a) Y = a' (bc'd'e + b'c'e) + a (b'cd'e + b'c'e + bcde) = a' (Y1) + a (Y2)
Y1 = bc'd'e + b'c'e
Y2 = b'cd'e + b'c'e + bcde

(b)

133
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c)
bcde Y1 (Xfunc) Y2 (Yfunc)
0000 0 0
0001 1 1
0010 0 0
0011 1 1
0100 0 0
0101 0 1
0110 0 0
0111 0 0
1000 0 0
1001 1 0
1010 0 0
1011 0 0
1100 0 0
1101 0 0
1110 0 0
1111 0 1

a Xfunc Yfunc Zfunc


000 0
001 0
010 1
011 1
100 0
101 1
110 0
111 1

6.20 (a) Eight LUTs are required. Each bit of the adder requires one LUT to generate the sum and one
LUT to generate the carry-out.

(b) Four LUT4s are required. Each bit of the adder requires one LUT4 to generate the sum.
Dedicated carry chain logic generates the carry-out.

134
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c) When Su is 1, the circuit should add a to the 2’s complement of b by inverting each bit of b and
setting bit 0’s Cin to.

Each bit will have the same output function:

Su ai bi Cin Outi
0 0 0 0 0
0 0 0 1 1
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 0
0 1 1 0 0
0 1 1 1 1
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 0
1 1 0 1 1
1 1 1 0 1
1 1 1 1 0

Outi = Su'ai'bi'Cin + Su'ai'biCin' + Su'aibi'Cin' + Su'aibiCin + Suai'bi'Cin' + Suai'biCin + Suaibi'Cin +


SuaibiCin'

135
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
136
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.21 (a) 14 cells total.

(b) 14 cells total: 6 for adders and 8 for AND gates but propagation delay is less.

6.22 (a) Z = A'(BC 'D ' EF ' + B'C 'E ' F + BC ' E ' F ') + A(B'CD ' E ' F + B'C ' E ' F + BCDE)
Z = A'(Z0) + A(Z1)

Z0 = D'(Y00) + D(Y01)
Y00 = BC ' EF ' + B'C ' E ' F + BC ' E ' F
Y01 = B'C ' E ' F + BC ' E ' F '

Z1 = D'(Y10) + D(Y11)
Y10 = B'C ' E ' F + B'CE ' F
Y11 = B'C ' E ' F + BCE

137
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(b)

138
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.23 (a) No solution available

(b)

(c)

139
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(d)

6.24 The possible functions are bolded below:


i) All 32-variable functions
ii) Some 32-variable functions
iii) All 8-variable functions
iv) Some 8-variable functions
v) All 7-variable functions
vi) Some 7-variable functions
vii) All 6-variable functions
viii) Some 6-variable functions
ix) All 36-variable functions
x) Some 36-variable functions
xi) All 39-variable functions
xii) Some 39-variable functions

6.25 The sequential circuit requires 3 Virtex slices. For the first slice, the G inputs are Q2, C, D, and E (G
= Q2' C D E). The F inputs are Q2, A, B, and C (F = Q2 A B C). The BX input is Q1. Then the X flip-
flop implements the Q1 flip-flop. Also, if the FXA input is 1, the FXB input is 0, and the BY input is
Q1, then the Y flip-flop implements Q2. For the second slice, the G inputs are Q2, A, and B (G = Q2'
A B + Q2' A' B'). The F inputs are Q2, A, B, and C (F = Q2' A B' + Q2 (A' + B + C)). The BX input is
Q1. Then the output to the F5 MUX implements Z1. For the third slice, the G inputs are Q1, Q2, A,
and B (G = Q1 A' + Q1 B + Q2'). Then the Y combinational output implements Z2.

6.26 (a) No solution provided

(b) No solution provided

6.27 Stratix V logic module is similar to Stratix IV logic module, except that there are four flip flops
existing per logic module instead of the two in Stratix IV.

140
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.28

6.29 (a)

(b) module P6_29b(A, N, A_Shft);


input [15:0] A;
input [0:15] N;
output [15:0] A_Shft;

wire [15:0] decoder_out;


wire [31:0] mplier_out;

assign decoder_out = (16'b0000000000000001) << N;


assign mplier_out = A * decoder_out;
assign A_Shft = mplier_out[15:0];
endmodule

141
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c)

module P6_29c(A, N, A_Shft);


input [15:0] A;
input [0:15] N;
output [15:0] A_Shft;

wire [15:0] decoder_out;


wire [31:0] mplier_out;

assign decoder_out = (16'b0000000000000001) << (15 - N);


assign mplier_out = A * decoder_out;
assign A_Shft = mplier_out[30:15];
endmodule

6.30 S0: Q0Q1Q2Q3 = 1000, S1: 0100, S2: 0010, S3: 0001

Q0+ = St'Q0 + Q3
Q1+ = StQ0 + K 'M 'Q1 + K 'Q2
Q2+ = MQ1
Q3+ = KM 'Q1 + KQ2
Load = StQ0
Done = Q3
Sh = M'Q1 + Q2
Ad = MQ1

6.31 S0: Q0Q1Q2Q3Q4Q5Q6 = 1000000, S1: 0100000, S2: 0010000, S3: 0001000, S4: 0000100, S5:
0000010,
S6: 0000001

Q0+ = St'Q0 + CQ4 + C 'Q6


Q1+ = StQ0
Q 2+ = Q 1
Q 3+ = Q 2
Q 4+ = Q 3
Q5+ = C 'Q4 + K 'C 'Q5 + CQ5
Q6+ = KC 'Q5 + CQ6
Rdy = Q0
Ldu = StQ0
Lds = StQ0
Ldl = Q1
Ldd = Q2
Sh = Q3 + C 'Q4 + C 'Q5

142
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Su = CQ5 + CQ6
V = CQ4
Cm1 = C 'QnegQ6

For S0 = 0000000, change all instances of Q0 in above equations to Q0':


Q0+ = St' Q0' + CQ4 + C 'Q6
Q1+ = StQ0'
Rdy = Q0'
Ldu = StQ0'
Lds = StQ0'
All other equations unchanged

6.32 S0: Q3Q2Q1Q0 = 0000, S1: 1100, S2: 1010, S3: 1001

To create a one-hot encoding, if Q3 is 0 in the reset state it must be 1 in all other states.

6.33 (a) Q0+ = X2 Q1 + X4 Q3 Z1 = Q0 + Q2


Q1+ = X1 Q0 Z2 = Q1 + Q3
Q2+ = X1' Q0 + X2' Q1 + X3' Q2 + X4' Q3
Q3+ = X3 Q2

(b) 5 Total:
1 Slice: Q0 (one LUT4 and FF), Q1 (one LUT4 and FF)
2.5 Slices: Q2: (each AND term in one half-slice, one half-slice combines 4 product terms, one
FF)
1 Slice: Q3 (one LUT4 and FF), Z1 (one LUT4)
½ Slice: Z2 (one LUT4)

6.34 To ensure proper synthesis, amend the code for Figure 4-15 as follows:
- Within the first process, ensure that all If-Then statements include an Else portion.

6.35 Using the Xilinx ISE, targeted for a Spartan 3 FPGA:

Settings Figure 4-35 Figure 4-40


Goal: Speed 25 Slices 13 Slices
FSM Encoding: Auto 11 Flip-Flops 14 Flip-Flops
47 LUT4s 24 LUT4s
Max Speed: 188.656 MHz Max Speed: 194.714MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: Auto 18 Flip-Flops 21 Flip-Flops
45 LUT4s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: One-Hot 18 Flip-Flops 21 Flip-Flops
45 LUT4s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: Compact 18 Flip-Flops 21 Flip-Flops
45 LUT5s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz

143
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Figure 4-40 uses fewer resources then Figure 4-35, and each synthesis option uses about the same
amount of resources. The solution to this problem may change depending on what synthesis tool
and target device is used.

6.36 (a) A 4-to-1 mux for each bit of b:

(b) Gate network:

A1 A0 B1 B0
0 0 1 1
0 1 1 0
1 0 0 1
1 1 0 1

By inspection, B1 = A1' and B0 = A1 + A0'

6.37 (a) Arithmetic Right Shift register :

(b)

144
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
C1 C0 D1 D0
0 0 1 1
0 1 1 0
1 0 0 0
1 1 - -

D0 = C1'C0'
D1 = C1'

(c)

6.38 (a) Naïve implementation uses an 8-to-1 mux, 3 inverters (for not A), a 3-bit adder, and a 3-bit
register. The arithmetic right shift can be accomplished by feeding in C2C2C1.

An alternate implementation is possible if Co, Ad, and Sh will not become active at the same
time: use 3 tri-state buffers with tri-state controls Co, Ad, and Sh instead of the mux.

(b) The circuit is a basic ALU, with register. If Co is true, A is complemented and loaded into
register C. If Ad is true, A and B are added and loaded into C. If Sh is true, C is shifted right by
1. Sh has the highest priority, followed by Ad, and then by Co. Note that else clauses are not
used.

145
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.39 (a) Unoptimized: Two 4-to-1 muxes

Optimized: 1 inverter. Write truth table and reduce as follows:

a1 a0 b1 b0
0 0 1 0
0 1 0 0
1 0 1 1
1 1 0 1

b1 = a0'
b 0 = a1

(b)

6.40

6.41

146
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Another random document with
no related content on Scribd:
– Jó, jó, – dörmögte magában a diák, – nekem énekelhetsz!
Végre mégis csak elhagyod.
Mikor a szomszéd már vagy huszszor eldalolta érdekes fiatalkori
eseményét, pillanatra elhallgatott. Pető már remélni kezdte, hogy
immár elérkezett a nyugalom ideje, mikor ujra megtört a csend s a
dalnok fagotton kezdte fujni a nótát. A fagott általában nem
szimpatikus hangszer, de a szomszédé különösen förtelmes
instrumentum volt; a magasabb regiszterben recsegett, mint a
kifizetetlen cipő, mélyhangjai pedig vetekedtek a medve bőgésével.
Az uj lakó joggal mondta el magáról, hogy erős szervezete van.
Ugy is volt, a sors karvastagságu idegekkel ajándékozta meg. Egy
ideig ugyan bántotta e szokatlan hangszer, de aztán a diák szép
csendesen elszenderedett. Különös álma volt: lehunyt szeme előtt
megjelent a Koronaherceg-utcai kigyó és udvarias főhajtással
keringőre szólitotta fel, amelyhez a lakatosok ütötték a taktust és
Pető már táncra is kelt, mikor egyszerre rémes dörömbölés
hallatszott az ajtón és Petőnek jobb szándéka ellenére, fel kellett
ébrednie.
– Ki az? – dörmögte és azt érezte, hogy tenyerében pofonok
viszketnek. – Ki az a csendháboritó?
Egy rosszkedvü hang válaszolt kivülről:
– A háziur van itt!
– Mit kiván? – üvöltött vissza Pető, aki semmi hajlandóságot sem
érzett arra, hogy kimásszon meleg ágyából.
– Bebocsájtatást, – jelentette ki az előbbi szózat. – Fontos ügyről
van szó.
Mit lehetett tenni, a jogász felkelt és ajtót nyitott. A küszöbön
Bauernebel Jeromos állt, mögötte a házmester, hálósapkában,
alabárddal, istállólámpával a kezében, akár a német városok éjjeli
őrei.
A háziur megemelte kalapját és belépett a szobába.
– Mit tetszik parancsolni? – kérdezte a diák, gyorsan visszabujva
a meleg párnák közé.
Jeromos ur egy nyomtatott irást mutatott fel.
– Ezek a hatósági lakbérstatutumok, – mondotta. – Kételkedik
bennök?
– Nem! – orditotta az ifju.
– Ez szép öntől, – folytatta a háziur. – Eszerint továbbmehetünk.
A szabályzat, melyet bemutattam, kifejezett jogot ád a háziurnak,
hogy a kiadott lakást bármikor megszemlélheti. Van ez ellen
kifogása?
Pető ugy érezte, hogy ki kell bujnia a bőréből.
– És ön a jogával éjjel él?! – bömbölte a téli álmából felriasztott
medve hangjával.
Bauernebel arca jéghideg maradt.
– Ez az én belátásomra tartozik, – válaszolta szárazon. – Miután
pedig házam nappal nem elég nyugodt arra, hogy izgatottság nélkül
élhessek e jogommal, az éjjeli órákat kell e célra felhasználnom.
A diák egy pillanatig nem tudta, mit csináljon: nevessen-e, avagy
kapja fel a sétabotját és kergesse ki a hálókabátos társaságot? Mind
a kettőhöz nagy hajlandóságot érzett volna, különösen az utóbbihoz,
de aztán meggondolta, hogy ha mérgelődik, ezzel csak örömet
szerez a háziurnak, hát vállat vont és aránylag higgadtan igy szólt:
– Tisztelt uram! Bauernebel Jeromos ur! Engedjen meg egy
kijelentést. Ön tökéletes bolond. Megváltozhatatlan, javithatatlan,
képezhetetlen bolond. És ezek után: jóéjt! Jóéjt!
A háziur meghökkent. Mit mondott ez az ifju?
Hogy ő, Bauernebel Jeromos, ötven ház tulajdonosa, az arany
polgár, Pest leggazdagabb embere, bolond? Lehetséges ez?
Lehetséges, hogy ekkora vakmerőség lakozzon egy zöld ifjuban?
A terézvárosi Krőzus kiegyenesedett. Most már ő volt dühös.
– Ön azt tartja, hogy őrült vagyok?! – kérdezte villogó szemmel.
– Azt, – felelte a diák a dunyha alól.
Lámpl megkövesedve állott gazdája oldalán.
– Egy fiatalember meri ezt egy idősebb arcába vágni? – pattogott
a háziur, oly vadul gesztikulálva, hogy kiütötte Lámpl uram kezéből
az istállólámpát. – Egy fiatal jogász?! Egy senki?!
De Pető már nem válaszolt.
Nyugodtan lehunyta szemét, elaludt és álmában ujra táncba
bocsátkozott a kacér kigyóval.
A háziur mégegyszer megismételte a kérdést, de feleletet most
sem kapott.
– Lámpl! – fordult Bauernebel szigoruan a házmesterhez, látva
az eredménytelen kisérletezést. – Lámpl, költse fel ezt az urat!
A szegény cerberus hozzáfogott a hálátlan munkához. Elkezdte
rázni Petőt, könyörgött neki, a fülébe kiabált, az ágyát döngette, vizet
fröccsentett rá, de hiába, a diák sehogysem akart felébredni,
nyugodtan, erős lélekzettel aludt, mint a medve vizkeresztkor. Lámpl
egy ideig még folytatta a harcot, aztán kimerülten fordult a háziur
felé.
– Lehetetlen! Lehetetlen, uram! Ettől az idomtalan fiatalurtól
kitelik, miszerint meghalt, csakhogy minket bosszantson.
– Ügyetlen! – vetette oda neki Bauernebel s megvető tekintetet
vetett a diákra. – Előre! – fordult aztán Lámplhoz, aki ugy fujt, mint
egy motola. – Gyerünk a szomszédba!
IV.

Reggel Pető összeszedte a holmiját és beállitott a háziurhoz, aki


hosszu slafrokban ülve, éppen a kávéját szürcsölte. A logika
szabályai szerint az arany polgárnak, amint megpillantotta a
goromba lakóját, fel kellett volna ugrani székéből és visszatérve az
éjjeli találkozásra, a legszenvedélyesek indulatszókban kellett volna
kitörnie. De a logika ezuttal nem állta meg helyét.
Bauernebel nyugodtan ülve maradt, – dühe az éj folyamán
lecsillapodott. Ennek a magyarázata a következő: Az arany polgár –
ahogy kortársai nevezték – hajthatatlan és összeférhetetlen
természet volt ugyan, de éppen azért meg tudta érteni, ha más
embernek is hasonló tulajdonságai voltak. Hogy aludt egyet rá,
fejéből kipárolgott a harag, a magáéhoz hasonló karaktert fedezett
fel a jogászban, sőt még tetszett is neki, hogy valaki, akinek nincs
semmije és nem is lesz semmije, aki egy öröknek igérkező nulla, egy
mittudoménki, ilyen vakmerőn mer vele, a hatalmas háziurral
szembeszállni. Éppen azért kissé gunyosan, de mégis mosolyogva
fogadta Petőt.
– Nos, tisztelt fiatalur, – kérdezte szemét hunyorgatva, – hát csak
még sincsenek oly erős idegei, ahogy gondolta?
A diák vállat vont.
– Erős idegeim vannak, Bauernebel ur, – felelte kurtán, – de
azokat nem az ön, hanem a magam számára kaptam az Uristentől.
Az arany polgár bólintott a fejével.
– Ebben igaza van, tisztelt fiatalur, feltétlen igaza. Ez jól van
mondva. Bár nálam is ugy állna a dolog.
– Ön más. Ön egy kellemetlen, összeférhetetlen természet,
tisztelt Bauernebel ur, – jegyezte meg a diák nyugodt
szemtelenséggel, – de én, én a légynek sem vétek. És éppen azért
a lakást felmondom. Az én hajamat maga nem fogja megfehériteni,
mint a szegény Lámplét.
Bauernebel nem haragudott meg: mosolyogva kapta be a
tejeskifli csücskét, aztán felállt és Pető vállára tette kezét.
– Nagyon jól beszél, kedves barátom uram, nagyon jól. A fő az
őszinteség! Én összeférhetetlen vagyok, sőt házsártos is a
legnagyobb mértékben. Tisztában vagyok büneimmel. Mea culpa!
De csak egyet kérdek. Látott ön már embert, akinek kártyában,
asszonyban telik öröme, s megérti ön az ilyen embereket?
– Meg, – felelte a diák, aki maga is szeretett kártyázni és
asszonyok után futkosni.
– No hát, barátom uram, – bólintott a háziur, – ahogy másnak a
szép lány, a pique dame a passziója, nekem a pörlekedés nyujt
élvezetet. Hiszi ön, hogy ez igy van?
– Elhiszem, – felelte Pető. – Én minden rosszat készséggel
hiszek el önről.
A háziur most sem haragudott meg.
– Hahaha, – kacagott kesernyésen. – Meg kell mondanom, hogy
az őszintesége nincs ellenemre. Velem ezen a hangon nem igen
mernek beszélni az emberek. De nem ám! Éppen ezért, sajnálnám,
ha ön, aki tiszteletreméltó kivétel, ily gyorsan elhagyná házamat.
Pető vállat vont.
– Azt hiszi, szerencsének tartom, – felelt félvállról, – ha minden
éjszaka alabárdosok kiséretében tesz nálam látogatást?
Bauernebel ravaszul pislogott.
– Ah, az csak próba volt, barátocskám. Ez még nem ok a
haragra. Sőt, ez alap a barátságra. Ne nézzen csodálkozva reám.
Mindjárt kimagyarázom magamat.
– Kiváncsi vagyok.
– Nos, akkor figyeljen rám, – mosolygott a háziur. – Ön, nemde,
megesküdött nekem, hogy semmiféle összeköttetésben nem áll
Jozefinnel, sőt erről kötelezvényt is adott. Ez szép, de hát tudjuk,
hogy minden irásból, esküből van valami kibuvó, van valami mentalis
reservatio – másképp a fiskálisok már rég éhen vesztek volna. De
maradjunk a tárgynál. Tehát én éltem a gyanupörrel, hogy ön mégis
csak valamelyes nexusban áll Jozefinnel, tudom is én, hogy s
miként: talán tizenöt emberen keresztül, talán ugy, hogy maga sem
tudott róla s ezért elhatároztam, hogy kipróbálom önt.
– Nagyon kedves, – jegyezte meg a diák szárazon.
– Tervem a következő volt, – folytatta a háziur. – Ha ez a Pető ur
az első őrült éjszaka után megtartja a szobát, akkor föltétlen a
Jozefin embere, mert a Jozefin megbizottaival tehetek, amit akarok,
agyonszekirozhatom őket, azok minden ördög és pokol dacára itt
tanyáznak a nyakamon, vide a harangöntők vagy a fagottművész.
Jozefin ugy megfizeti őket, hogy ha a ház összedől, a harangok még
mindig zugni fognak benne s a fagottista még mindig ifjukoráról
ábrándozik. Ha tehát az első éjszaka után a diák ur azt mondja:
„alászolgája!“, akkor a dolog rendben van, ő nem megbizott, nem a
leányom bérence és én csak örülhetek, hogy ilyen jó lakóm akadt.
Petőnek nevetnie kellett.
– Az ön logikája, – felelte meghajtva fejét, – valóban bámulatos!
– Nos tehát, – folytatta tovább az arany polgár, – ön kiállta a
próbát s azt mondta: „Alászolgája!“ Ennek következtében ezennel
leteszem ön előtt a fegyvert s igérem, hogy több éjjeli inspekciót nem
tartok.
– Ez esetben – jegyezte meg a diák, – visszavonom a
felmondást.
Bauernebel átszólt a másik szobába.
– Tilda! Tilda! Gyere csak át! Vendégünk van!
Az ajtó megnyilt s a küszöbön megjelent egy csinos fiatal hölgy:
ugyanaz, aki annakidején Petőt a lakatosműhelyhez irányitotta.
A diák meghajolt, a kisasszony pedig odanyujtotta keskeny fehér
kezét.
– A leányom, – mondta Bauernebel büszkeséggel s azután
hozzátette: – Az apja leánya! Ezennel bemutatom neked Pető urat!
Tényleg volt benne valami az öregurból: a makacs szem, az okos
homlok s a parancsoláshoz szokott száj az arany polgárra vallott, de
a kemény vonásokat a fiatalság és üdeség zománca puhitotta meg.
– Nos, hogy tetszik? – kérdezte a házigazda a diákot.
Pető habozott.
– Azt nem lehet egy-kettőre megállapitani, – szólt megfontoltan. –
Ha azt fogom mondani, hogy a kisasszony szép, ugy a kedves
leánya, aki az „ön leánya“, föl fogja biggyeszteni az ajkát és azt
mondja: olcsó bók! Ha pedig az ellenkezőjét állitanám,
összeütközésbe kerülnék az igazságérzésemmel.
Bauernebel bólintott a fejével.
– Nagyon jó, fiatal barátom, nagyon jó. Ön a rébuszt helyesen
fejtette meg.
Tilda nem osztotta apja véleményét.
– Ez is csak bók, – jegyezte meg hidegen, – ha mindjárt más
formában.
A diák nem jött zavarba.
– Nem kezdhetem meg az ismeretséget rögtön igazmondással, –
felelte nyugodtan. – Mert méltóztasson elhinni, kegyeddel csak olyan
férfi tudna megférni, aki keményen fogná a gyeplőt.
A leány dacosan nézett Pető szemébe.
– Abba nekem is van beleszólásom.
– Kérem, – hajtotta meg magát az ifju, – én nem vágyom e
szerepre.
Az arany polgár a kezét dörzsölte.
– Nagyon jól beszél ön, fiatal barátom, nagyon jól. Kész debatter,
kész dialektikus. Tehát térjünk a tárgyra. Ön ezek szerint megtartja a
szobát s én lemondok az inspekciózásról: clara pacta, boni amici.
– Rendben van. Ha ön azonban megszegi a szavát, – jelentette
ki az ifju vésztjóslóan, – akkor én holnap elmegyek Jozefinhez,
beállok bérencének s lőporgyárat nyitok a szobámban.
Pető fölkelt s távozni készült.
A háziur kezet fogott vele, aztán, mintha nagy kegyet osztana,
igy szólt:
– Ha kivánja, leszedetem a szobája butoráról a vaspántokat.
Biztos vagyok benne, hogy ön éjjelente nem fogja az ágyat jobbról-
balra huzgálni.
– Ez több, mint amennyit megérdemlek, – jegyezte meg az ifju
szerényen s azzal távozott.
V.

Amint Pető visszament a szobájába, a folyosón egy különös


emberrel találkozott, egy zordarcu urral, aki nagy fekete karbonári-
köpenybe volt burkolva s a hóna alatt rengeteg kottacsomót tartott.
Nyilván zenész volt: bársonykalapja s hosszu haja legalább arra
vallott, s ezért a diák joggal szomszédját vélte fölismerni benne.
Pető gondolt egyet.
– Bocsánat, uram, – szólitotta meg udvariasan a karbonári-
köpönyegest, – nem ahhoz a kiváló fagott-művészhez van
szerencsém, aki mellettem lakik?
A bársonykalapos meghajtotta magát.
– Valóban, uram, – felelte, – a fagottista én vagyok.
– Pető a nevem, – mondta a diák kezét nyujtva.
– És engem Bulcsu Emődnek hivnak – felelte a zenész. – Bulcsu,
ez t. i. a művészi nevem. Valóban azonban a Buchwald család tagja
vagyok.
Pető elgondolkozott egy pillanatig. Mi az ördögnek kell a
regényes Bulcsu név ahhoz, hogy az ember egész éjjel azt fujja:
„Mig ifju voltam, sok lányt csókoltam, tilaárom haj!?“ Ezt ugyan a
derék Buchwald név is megteszi.
A karbonáris nyilván megsejtette szomszédja titkos gondolatát.
– Ah, uram! – szólt sóhajtva, – engedje meg, hogy
röstelkedésemnek adjak kifejezést. Nagy röstelkedésemnek, mert az
előzmények után ön engem bizonnyal félreismer, mint sokan a
világban.
– Tessék elhinni, hogy ez a szándék távol áll tőlem, –
udvariaskodott a jogász.
– Nem, ne mondjon ellent, – erősködött a fagottista. – Tisztában
vagyok a helyzettel. Elismerem, hogy sokat vétek a muzsa ellen,
sokat hibáztam önmagammal szemben, de… nem tisztelne meg egy
percre látogatásával? – tette hozzá szobája ajtaja felé mutatva.
– Tartom szerencsémnek, – hajtotta meg fejét Pető s azzal már
bent is volt a fagott-művész odvában.
Barátságosnak az ifju zenész lakását mondani nem lehetett. A
butorzat még puritánabb volt, mint a jogásznál s szobadiszül csak
egy ócska lovaglóostor szolgált, amely busan fityegett le a falról.
– Ön lovagolni is szokott? – kérdezte Pető a hippológiai
szimbólumra mutatva.
– Oh dehogy, – tiltakozott szomoru mosollyal a művész, – ez az
ostor nem az enyém. Ez az elődömtől, egy nyugdijazott kapitánytól
maradt fönn. Mert én itt, mint talán sejti, csak albérlő vagyok. A lakás
tulajdonképp egy nyugdijazott századosé, akit azonban a
harangöntők első nap szerencsésen kizavartak az elátkozott falak
közül. Ekkor Jozefin őnagysága kibérelte számomra e helyiségeket.
Helyiségeket mondok, mert még három szobám van. Cifra
nyomoruság: négy szoba és egy tál étel se. Méltóztasson
megpillantani a lakosztályt!
És a művész végigvezette uj ösmerősét további három szobán,
ahol a butorzatot mindössze egy öreg kalap és egy kitömött galamb
képviselték. Mikor a szemle véget ért, visszakerültek az első
helyiségbe, Pető egy kottákkal megtömött ládára, a fagottista pedig
az ágyra telepedett le.
– Üdvözlöm önt lakomban, – kezdte el ujra a zenész, – és
remélem, hogy nem leszünk ellenségek, jóllehet önnek erre,
belátom, oka és joga van. Kétségtelennek tartom ugyanis, hogy
kegyed, mint a legtöbb ember, nem barátja a fagottnak, valamint
annak sem, ha valaki ezerszer énekli el a szomszédjában azt, hogy:
„Mig ifju voltam, sok lányt csókoltam, tilaárom haj!“ Én sem vagyok
kedvelője az ilyen zenei élvezetnek, legkevésbbé sem. De hajh! az
élet nehéz! Engem e szörnyü hangszerhez az a kérdés füz, hogy mi
jobb: éhenhalni, vagy fagottozni? Nem nehéz eldönteni: nyilván az
utóbbi, azért hát jobb meggyőződésem ellenére kénytelen voltam
elfogadni Jozefin őnagysága ajánlatát és magamra venni ezt az
átkozott igát! Havi harminc forintért napestig bosszantanom kell
Bauernebel urat, ez az én hivatásom, ez az én életem, – piha!
Bulcsu mester elkeseredve lóbálta a lábát, majd igy folytatta:
– Az emberek, s köztük ön is, joggal hihetik, hogy egész valóm
nem ér egy pipa dohányt. A látszat is e mellett szól. Pedig tévednek,
nagyon tévednek. Bennem is léteznek ambiciók, én is tudom, mi az
ideális cél s remélem, hogy azt egykor el is érem, hogy egykoron
lesz belőlem valami. Anch’ io sono pittore. Tessék itt körülnézni, a
szoba, nemde, csupa kotta. Tudja mi ez? A Nero cimü nagy opera
előmunkálatai, partitura-részletek, megkezdett dalok, hangszerelési
kisérletek. Ez az én életcélom, ambicióm. De amint belemelegszem
a munkába s emelkedem a Parnasszus felé, egyszerre eszembe jut,
hogy tulajdonkép mire is szerződtem: semmi másra, mint hogy
megbosszantsam Bauernebel urat, valamint, hogy kiszekirozzam a
többi bérlőt, s igy rá kell gyujtanom a fagottra. Oh, te utálatos
szerszám! – tört ki belőle az elkeseredés, – csak téged ne látnálak,
csak te pusztulnál el, csak téged ragadna már el a zord enyészet,
amely annyi sok más derék embert s intézményt tesz tönkre!
Pető részvéttel nézett uj barátjára. Tényleg, naphosszat jobb
meggyőződés ellenére fagottozni, nem lehet a legkellemesebb
életpálya.
– És mióta tart a pörlekedés apa és leánya közt? – kérdezte a
jogász a zenésztől, aki még mindig gyilkos tekintetet lövelt a
fagottra.
– Ki annak a megmondhatója?! – sóhajtotta a muzsikus. – Azt
hiszem, ez a pörlekedés már évszázadok óta tart és ugy sejtem,
sohasem fog véget érni.
– Ez a Jozefin nagy hárpia lehet, – vélte Pető egy kis szünet
után.
Bulcsu mester tétován nézett a jogászra.
– Ön nem ismeri őnagyságát? – kérdezte aztán.
– Sohase láttam; egyébként is, most jöttem vidékről.
A zenész tiszteletteljesen fölállott, mintha szemben lenne
Jozefinnel.
– Bauernebel ur leánya, – mondta sok kenettel, – bájos,
szeretetreméltó teremtés. Üde, okos, kedves, csaknem olyan üde és
kedves, mint Tilda.
A Tilda szónál a zenész alakoskodáshoz nem szokott muzsikus-
arca hirtelen kigyult, ugyhogy Pető, aki pszichológiailag némileg
képzett volt, gyanakodva nézett szomszédjára.
– Tilda, ugy látszik, tetszik önnek? – kérdezte egy kis szünet után
a fagottistától.
Bulcsu az ablakhoz ment. Ott állt egy ideig és szomoruan nézett
ki az utcára, ahol, az utcagyerekek éktelen visitása közben, éppen a
külvárosok szenzációja, a sintérkocsi döcögött végig.
– Hogy tetszik-e? – mormolta inkább a zenész, semmint mondta.
– Kinek ne tetszene a hajnal, a rózsabimbó, a napsugár? Kit ne
illetne meg a szépség, a szűzi tisztaság? Oh! Hiszen ez az én
tragédiám! Ez az én kétségbeejtő, ödipuszi tragédiám! Minek is
tagadnám! Fülig szerelmes vagyok Tildába és mindazonáltal
gyűlölnöm, bosszantanom kell őt. S mindezt a nyomorult pénzért!
Uram! Négyfelvonásos szomorujátékot lehetne irni rólam,
szivhasogató, keserves tragédiát!… Hopp! Megszökött! – tette hozzá
egyszerre élénken, az ablakon át meglátván, hogy egy nagy kuvasz,
amelyet a pecér éppen elcsipett, diadalmasan eltépte a dróthálókat.
Pető is odalépett az ablakhoz.
– Ez bizony szomoru, kedves barátom, szólt, kezét a muzsikus
vállára téve, – de az élet, a kenyér igazolja önt. Én értem helyzetét…
Ki vehetné rossz néven öntől, hogy alkalmazkodik a lét rideg
törvényéhez?
– Nekem csak az fáj, – szólt Bulcsu lemondással, – hogy Tilda
rossz néven veszi működésemet. Pedig, ha tudná, hogyan imádom,
hogy minden dalom neki szól, hogy még éjjel is róla álmodom, talán
jobb véleménnyel lenne rólam!… De elég volt, – tette hozzá,
végigsimitva homlokát, mintha a gondokat akarná letörölni róla, –
lássunk munkához!
Visszafordult a kályhához, levette a falról borzalmas hangszerét
és elkeseredve, kipirult arccal, kidült szemmel kezdte fujni a fagottot,
amely recsegve, dörmögve engedelmeskedett ura parancsának.
VI.

Amint Pető másnap az utcán végigballagott, egy urifogat robogott


el mellette és kiméletlenül lefröcskendezte sárral. A diák – ahogy
ilyenkor mindenki tenni szokta – megvetéssel nézett utána az
udvariatlan kocsisnak, amelynek lovai hirtelen mozdulattal a barna
ház előtt állapodtak meg. A zöldkabátos inas leugrott a bakról,
kinyitotta a fogat ajtaját s egy kék selyembe öltözött hölgynek
nyujtotta kezét.
– Ez bizonyára Jozefin, – konstatálta a jogász s rögtön
megjegyezte: – Valóban oly csinos, amilyennek Bulcsu festette!
A szép asszony egy percre megállapodott a kapunál. Az utcán
ugyanis a következő dolog történt:
A szomszédos épületből a veteránzenekar indult utjára s a
tábornok megpillantva Jozefint, tisztelgést vezényelt őnagysága
előtt, majd a zenekar a diszindulóba fogott. A kisdob gyorsan
pörgött, a klarinét nemes harcra kelt a bombardonnal s a
kecskeszakállas suszterek peckesen lépkedtek az utca
pocsolyáiban.
A diák nevetett s aztán megkérdezte a szonszéd gyertyamártótól,
aki a nagy zajra szintén kiszaladt az üzletéből, vajjon mi az oka a
hadastyánok e nagyrabecsülésének? A gyertyamester ekkor azt a
választ adta, hogy őnagysága védnöke s mecenása az egyletnek. Ő
tartja fönn az egyletet, ő veszi a hangszereket. A derék katonák, a
világ legrémesebb malacbandájával nap-nap után végigvonulnak az
utcán, persze csak azért, hogy az öreg Bauernebel kibujjék a
bőréből, részben a hamis játék, részben a leánya pazarlása miatt.
– Kedves egy családi élet, – vélte a jogász s még egyszer
megnézte Jozefint, aki bájos mosollyal bucsuzott el a parádéző
hadastyánoktól.
Pár nap mulva Pető ujra összeakadt a szép asszonnyal és pedig
a következő módon.
Jozefinnek két paripája volt s egy sétalovaglásról hazatérve, az
urhölggyel a Király-utcában a következő dolog történt: Egy csomó
suszterinas, akik már pár nap óta ellenséges magatartást
tanusitottak vele szemben, utána szaladt s a fővárosi csibészifjuság
szokása szerint, mindenféle gunyos szavakkal illette az amazont.
– Kisasszony! Hosszabb a péntek, mint a szombat! – ezt
kiáltották, meg azt:
– Néni, néni! Ha leesik, jöjjön ide, majd felemelem!
Edward, az angol lovász, jéghideg ábrázattal türte a gyalázatos
sértéseket, amelyekkel szemben védtelen volt, de Jozefinnek kigyult
az arca a haragtól, egyrészt szégyentől, másrészt mert érezte, hogy
a jelenetet az apja rendezte.
Ugy is volt; az öreg Bauernebel nekiszélesedett ábrázattal ült ott
az ablakban és látható örömmel élvezte az épületes botrányt, amely
ugyan hatvan krajcárjába került, de ezt az összeget bőven megérte.
Az összecsődült utca népe is ezen a véleményen volt, a kintornások,
kofák, utcaseprők csomóba verődtek és hangos derültséggel
fejezték ki tetszésüket, mialatt a két lovas mielőbb otthon szeretett
volna lenni.
Az egyik suszterinas, aki, amint látszott, a többi vezére volt,
éppen egy ujabb tréfára készült s egy tulérett almával célba vette
Edward kokárdás köcsögkalapját, amikor váratlan dolog történt. A
gyalogjáróról ugyanis egy ur a csirkefogók közé ugrott, az egyiknek
jól meghuzta a fülét, a másodikat felpofozta, majd a vezért a
gallérjánál fogva felemelte a magasba, ahogy a kutyakölyköket
szokás, mialatt szabad kezével tovább osztotta jobbra-balra a
nyakleveseket.
Ez a titokzatos idegen, talán nem kell mondanom, a lovagias
Pető vala.
A hatás meglepő volt.
A cipészifjuság pár pillanat alatt le volt fegyverezve s ahány inas,
annyifelé szaladt éktelen sivitással. Maga a levegőben függő vezér
is forditott egyet a dolgon s kétségbeesve rimánkodott a diáknak,
hogy kegyelmezzen meg ifju életének, hogy őt csak felbérelték, hogy
életében többé nem fog ilyet tenni s még holnap mindent meggyón a
templomban.
A diák hajlandónak mutatkozott az irgalomra s a földre tette a
vezért, a kezét azonban nem eresztette el.
– Elbocsátlak, – szólt szigoruan, – de csak ugy, hogy előbb
odaméssz a nagyságos asszonyhoz, kezet csókolsz neki és
alázatosan bocsánatot kérsz tőle.
A suszterinas minden feltételt elfogadott és Petőtől kalauzolva,
bement a barna ház udvarába, amelyet ezalatt Jozefin, a diák
közbelépése alapján, immár háboritatlanul ért volt el. A szép hölgy
éppen leszállt paripájáról, mikor a rab és kisérője hozzáléptek.
– Nos, mit mondasz? – kérdezte a jogászalakba öltözött
nemezis, nagyot lóditva a póruljárt vezéren.
A suszterinas lerótta az eléje szabott feltételeket: kezet csókolt
és alázatosan bocsánatot kért a megsértett hölgytől.
– Elmehetsz – intett Pető a szép aktus után. A csiszlik azonnal
neki is iramodott a végtelenségnek. Mikor azonban a kapuhoz ért,
visszafordult, tölcsért csinált a kezéből és éles hangon visitotta a
jogász felé:
– Dunavadász! Dunavadász!
Dunavadász – azaz: Donaujaeger! – a hatvanas években
ugyanazt a gyanus fogalmat fedte, mint ma a csirkefogó szó s ennek
következtében Petőt oly érzékenyen érintette, hogy a diák szó nélkül
ujabb üldözésére akart indulni a csiszliknek s már ugrott is egyet,
mikor egy puha kéz megfogta a karját és visszatartotta az amugy is
meddőnek látszó hajszától. A puha kéz, mint jól sejtik, a Jozefiné s
nem Edwardé volt.
– Hagyja el, – szólt őnagysága mosolyogva, – ez a háboru!
Adunk is, kapunk is sebeket.
A diák meghajtotta magát és bemutatkozott:
– Pető vagyok, – mondta, félszemmel még mindig a suszterinas
felé sanditva.
Őnagysága kecsesen biccentette meg fejét.
– Ön odaát lakik, apám házában? – kérdezte, szép kék szemét
az ifjura függesztve.
– Valóban, – dadogta a jogász meghatva. – Hogyan tudja ezt a
nagyságos asszony?
– Mindenről pontosan vagyok tájékozva, ami odaát történik. Ön
hétfőn hurcolkodott be a második emeletre, egyik szomszédja
Bulcsu, a másik az apám; igaz?
– Tényleg ugy van, – mondotta a diák, mert ugyan mi mást
mondhatott volna?
Jozefin kissé gunyosan mosolygott.
– És hogy van megelégedve a lakással?
– Mondhatom, furcsa diáktanya, – szólt a diák és megállapitotta,
hogy Jozefinnek nemcsak szép szeme és arca, hanem bámulatos
haja és pompás termete is van.
– És hogy jutott az eszébe ideköltözni – kérdezte őnagysága,
mialatt egy lesiető inas kék köpenyeget boritott vállára.
– Egyrészt azért, – felelt a diák, – mert barátja vagyok az érdekes
dolgoknak, már pedig e házban ugyancsak sok nevezetes esemény
akad, másrészt pedig kitünő egérutnak tartom a jövő számára.
– Egérutnak?
– Ugy van. Az okos jogász a jövőbe néz, ellentétben a történelem
barátjával, aki a multakat fürkészi. Ha pedig leveszem a
következendő idők képéről a fátylat, éppen nem tartom kizártnak,
hogy a vizsgáim oly jól sikerülnének, mint azt az édesatyám kivánja.
Méltóztatik érteni?
– Legkevésbbé sem, – válaszolta őnagysága őszintén.
– Akkor hát folytatom. Vegyük a dolgokat ugy, ahogy vannak.
Vegyük azt az egyszerü, mondhatnám magától értetődő esetet, hogy
az alapvizsgáimon megbukom. Mit teszek akkor? Mint igazolom
magamat szüleim előtt? Rendes körülmények között semmivel, ha
csak azzal nem, hogy lusta voltam. De e házban a helyzet más. Ha
itt megbukom, egyszerüen Pestre kéretem apámat, elviszem a
szomoru négy fal közé, végighallgattatom vele a veteránok
koncertjét, Bulcsu mester szerenádját és a harangöntők fulgura
frango-ját s aztán azt mondom neki: „Hát lehet itt tanulni? Hát tudnál
te itt előkészülni vizsgára?“ és az öregur, mint igazságszerető lélek,
kénytelen lesz velem együtt belátni, hogy fiának tökéletesen
igazsága van e tárgyban.
Jozefin kezet fogott az ifjuval.
– Önt sem a csirke költötte, – jegyezte meg mosolyogva.
– Valóban nem, – csatlakozott nézetéhez Pető. – Csókolom a
kezét!
A szép asszony kegyesen megbiccentette fejét, aztán még
egyszer megszólitásával tüntette ki a jogászt.
– Tud lovagolni? – kérdezte szeretetreméltóan.
Pető habozott. Igazat mondjon-e, vagy hazudjon? Ha az előbbi
irányhoz tartja magát, ugy be kell vallania, hogy nem ért e
mesterséghez, de micsoda lovag az, aki nem tud paripákkal bánni?
Végre is győzött benne a jobb érzés és őszintén nyilatkozott:
– Nem tudok, – mondta szemét lesütve.
– Kár, – biggyesztette fel ajkát őnagysága, – néha talán kedve
lett volna engem elkisérni.
A jogász lehorgasztotta fejét és átkozta sorsát, amiért nem tud
lovagolni. Micsoda hiányos nevelésben is részesült! Elsajátitotta a
matézist, a szintaxist, a fizikát, a geometriát, de mit ér ez ahhoz
képest, ha valaki nyeregbe tud ülni! Ha ő mindezzel tisztában volna,
most lovagja lehetne a szép asszonynak, együtt vágtatna át vele
tüskén-bokron, mig igy egy bizonyos ür van köztük s ő szomoruan
kénytelen megállapitani, mily nagy hiba, ha valaki az összes
hippológiai eseményekből csak az Augiász istállóját ismeri.
Jozefin karcsu alakja már eltünt a lépcsőházban és Pető még
mindig ott állt az udvar közepén, tétován nézve a szép asszony után.
Be szép is volt ez a karcsu hölgy, csak most látta igazán, hogy
szembe állt vele, hogy a közelségét, a százszorszép parfümje illatát
érezte. Még ilyen bájos nőt nem látott s a szivében egy eddig nem
sejtett érzelem támadt föl, és nőtt, nőtt ijesztő gyorsasággal, mint a
paszuly szára a bőséges éjszakai eső után.
VII.

Amint belépett a sárga ház kapuja alá, Pető ott találta


Bauernebelt, aki slafrokban, taplósipkával a fején, szokás szerint
Lámpl urral pörlekedett, ezuttal azért, hogy miért gyujt olyan korán
lámpát a lépcsőházban, holott sokkal jobb, ha a sötétben marad,
legalább a lakók nem csoszognak annyit a grádicsokon, amelyek
koptatásáért ugy sem fizetnek egy rézfityinget sem.
Mikor a háziur megpillantotta Petőt, abbahagyta a megrémült
Lámpl korholását és a diákhoz fordult:
– Servus humillimus, – mondta gunyosan hunyorgatva
macskaszemét, – tehát megvan a barátság, megvan?
A jogász rosszkedvüen nézett az arany polgárra.
– Micsoda barátság, ha szabad kérdeznem? – vetette oda
kurtán.
– Hehe, – nevetett Bauernebel. – Mintha nem tudná? Hát
micsoda barátságról lehet szó? Talán az Arabi pasáéról? Vagy a
szerb püspökéről? Dehogy is, dehogy!
– Ugy sejtem, hogy ön Jozefin őnagyságára céloz? – kérdezte
Pető szárazon.
A háziur ujra nevetett.
– Hahaha! Persze, hogy persze. Önnek éles elméje van, fiatal
barátom.
A jogásznak nem volt kedve tréfálni.

You might also like