Nothing Special   »   [go: up one dir, main page]

Mos Interface Physics Process and Characterization 1St Edition Shengkai Wang Online Ebook Texxtbook Full Chapter PDF

Download as pdf or txt
Download as pdf or txt
You are on page 1of 69

MOS Interface Physics, Process and

Characterization 1st Edition Shengkai


Wang
Visit to download the full and correct content document:
https://ebookmeta.com/product/mos-interface-physics-process-and-characterization-1
st-edition-shengkai-wang/
More products digital (pdf, epub, mobi) instant
download maybe you interests ...

Handbook of Truly Concurrent Process Algebra 1st


Edition Ph.D. Yong Wang

https://ebookmeta.com/product/handbook-of-truly-concurrent-
process-algebra-1st-edition-ph-d-yong-wang/

Forecasting with Maximum Entropy: The Interface Between


Physics, Biology, Economics and Information Theory 1st
Edition Hugo Fort

https://ebookmeta.com/product/forecasting-with-maximum-entropy-
the-interface-between-physics-biology-economics-and-information-
theory-1st-edition-hugo-fort/

Advanced MOS Devices and their Circuit Applications 1st


Edition Ankur Beohar

https://ebookmeta.com/product/advanced-mos-devices-and-their-
circuit-applications-1st-edition-ankur-beohar/

Light Weight Materials Processing and Characterization


1st Edition

https://ebookmeta.com/product/light-weight-materials-processing-
and-characterization-1st-edition/
MOS 2016 Study Guide for Microsoft Excel Expert 1st
Edition Mcfedries

https://ebookmeta.com/product/mos-2016-study-guide-for-microsoft-
excel-expert-1st-edition-mcfedries/

MOS 2016 Study Guide for Microsoft Excel 1st Edition


Joan Lambert

https://ebookmeta.com/product/mos-2016-study-guide-for-microsoft-
excel-1st-edition-joan-lambert/

MOS 2016 Study Guide for Microsoft Word 1st Edition


Joan Lambert

https://ebookmeta.com/product/mos-2016-study-guide-for-microsoft-
word-1st-edition-joan-lambert/

MOS 2016 Study Guide for Microsoft PowerPoint 1st


Edition Joan Lambert

https://ebookmeta.com/product/mos-2016-study-guide-for-microsoft-
powerpoint-1st-edition-joan-lambert/

Business Database Technology (2nd Edition): Theories


and Design Process of Relational Databases, SQL,
Introduction to OLAP, Overview of NoSQL Databases
Shouhong Wang
https://ebookmeta.com/product/business-database-technology-2nd-
edition-theories-and-design-process-of-relational-databases-sql-
introduction-to-olap-overview-of-nosql-databases-shouhong-wang/
MOS Interface
Physics, Process
and Characterization
MOS Interface
Physics, Process
and Characterization

Shengkai Wang and


Xiaolei Wang
First edition published 2022
by CRC Press
6000 Broken Sound Parkway NW, Suite 300, Boca Raton, FL 33487-2742

and by CRC Press


2 Park Square, Milton Park, Abingdon, Oxon, OX14 4RN

© 2022 Shengkai Wang, Xiaolei Wang

CRC Press is an imprint of Taylor & Francis Group, LLC

Reasonable efforts have been made to publish reliable data and information, but the author and
publisher cannot assume responsibility for the validity of all materials or the consequences of
their use. The authors and publishers have attempted to trace the copyright holders of all material
reproduced in this publication and apologize to copyright holders if permission to publish in this
form has not been obtained. If any copyright material has not been acknowledged please write
and let us know so we may rectify in any future reprint.

Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced,
transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or
hereafter invented, including photocopying, microfilming, and recording, or in any information
storage or retrieval system, without written permission from the publishers.

For permission to photocopy or use material electronically from this work, access www.
copyright.com or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood Drive,
Danvers, MA 01923, 978-750-8400. For works that are not available on CCC please contact
mpkbookspermissions@tandf.co.uk

Trademark notice: Product or corporate names may be trademarks or registered trademarks and
are used only for identification and explanation without intent to infringe.

Library of Congress Cataloging-in-Publication Data


Names: Wang, Shengkai, 1984– author. | Wang, Xiaolei, 1985– author.
Title: MOS interface physics, process and characterization / Shengkai Wang, Xiaolei Wang.
Description: First edition. | Boca Raton CRC Press, 2022. | Includes bibliographical references. |
Summary: “The electronic device based on Metal Oxide Semiconductor (MOS) structure
is the most important component of a large-scale integrated circuit and the key to achieving
high performance devices and integrated circuits is high quality MOS structure. This book
contains abundant experimental examples focusing on MOS structure.
The volume will be an essential reference for academics and postgraduates within the
field of microelectronics”— Provided by publisher.
Identifiers: LCCN 2021017023 (print) | LCCN 2021017024 (ebook) |
ISBN 9781032106274 (hbk) | ISBN 9781032106281 (pbk) | ISBN 9781003216285 (ebk)
Subjects: LCSH: Metal oxide semiconductors—Design and construction—Mathematics. |
Semiconductors—Junctions. | Integrated circuits—Research. | Solid state physics—Experiments.
Classification: LCC TK7871.99.M44 W358 2022 (print) |
LCC TK7871.99.M44 (ebook) | DDC 621.3815/284—dc23
LC record available at https://lccn.loc.gov/2021017023
LC ebook record available at https://lccn.loc.gov/2021017024

ISBN: 978-1-032-10627-4 (hbk)


ISBN: 978-1-032-10628-1 (pbk)
ISBN: 978-1-003-21628-5 (ebk)

DOI: 10.1201/9781003216285

Typeset in Minion
by codeMantra
Contents

Preface, ix
Authors, xi

INTRODUCTION, 1
0.1 SCOPE AND PLAN OF THE BOOK 1
0.2 BRIEF HISTORY OF MOS DEVICES 2
BIBLIOGRAPHY 5

Chapter 1 ◾ Physics of Interface 7


1.1 MOS INTERFACE 7
1.2 THE PHYSICAL NATURE OF INTERFACE STATES
AND BULK DEFECTS 8
1.3 MOS INTERFACE PASSIVATION METHODS 9
1.4 INTERFACE THERMODYNAMICS 11
1.5 QUANTUM CONFINEMENT EFFECT IN MOS 13
1.6 INTERFACIAL DIPOLE IN MOS GATE STACKS 14
1.7 EXTRACTION METHOD OF DIPOLE FORMATION
AT HIGH-K/SIO2 INTERFACE 17
1.7.1 Capacitance–Voltage Method 17
1.7.2 Method Based on X-ray Photoemission
Spectroscopy 21
1.7.3 Method Based on Internal Photoemission 23

v
vi   ◾    Contents

1.8 PHYSICAL ORIGIN OF DIPOLE FORMATION


AT HIGH-K/SIO2 INTERFACE 24
1.8.1 Electronegativity Model 24
1.8.2 Areal Oxygen Density Model 26
1.8.3 Interface Induced Gap States Model 27
1.9 “ROLL-OFF” AND “ROLL-UP” PHENOMENON 33
1.10 PHYSICAL ORIGIN OF FIXED CHARGES
AT GE/GEOX INTERFACE 40
1.11 SUMMARY 47
BIBLIOGRAPHY 47

Chapter 2   ◾   MOS Processes 51


2.1 MOS CAPACITOR PREPARATION PROCESS 51
2.1.1 Slicing 52
2.1.2 Cleaning 52
2.1.3 Dielectric Formation 54
2.1.4 Metal Evaporation to Form Electrodes 56
2.2 OXIDATION PROCESS AND KINETICS 58
2.2.1 Thermal Processing (RTP) and Plasma
Oxidation Systems 58
2.2.1.1 Thermal Processing (RTP) Systems 58
2.2.1.2 Plasma Oxidation Systems 62
2.2.2 Summary of Oxidation 76
2.3 DEPOSITION PROCESS 76
2.3.1 Sputtering 76
2.3.2 Atomic Layer Deposition 80
2.3.3 Vacuum Thermal Evaporation 83
2.3.4 Molecular Beam Epitaxy (MBE) 85
2.3.5 Metal Organic Chemical Vapor Deposition
(MOCVD) 88
2.4 SUMMARY 93
BIBLIOGRAPHY 93
Contents   ◾    vii

Chapter 3   ◾   MOS Characterizations 95


3.1 METHODS FOR EVALUATING THE DENSITY
OF INTERFACE STATES OF MOS 95
3.1.1 High-Frequency (Terman) Method 95
3.1.2 Quasi-Static (Low-Frequency) Method 97
3.1.3 High–Low-Frequency Method 99
3.1.4 C–φs Method 99
3.1.5 Conductance Method 102
3.2 EXPERIMENTAL STEP 105
3.2.1 Calibrate the Equipment 106
3.2.1.1 Phase Calibration 107
3.2.1.2 Butt Joint of Coaxial Joint
and Triaxial Joint 110
3.2.1.3 Open-Circuit Calibration 112
3.2.1.4 Short-Circuit Calibration 113
3.2.2 C–V Curve Was Measured After Calibration 113
3.2.3 An Example of Measuring Density of Interface
States of SiC MOS by Conductance Method 115
3.2.3.1 Part 1: Measurement of the C–V Curve 115
3.2.3.2 Part 2: Measurement of the G–f Curve 116
3.2.3.3 Part 3: Measurement of the System
Series Resistance Rs 117
3.3 HYSTERESIS AND BULK CHARGE 123
3.3.1 Interface Trapped Charge 124
3.3.2 Near Interface Trapped Charge (Border Trap) 125
3.3.3 Fixed Charge in the Oxide Layer 130
3.4 EQUIVALENT OXIDE THICKNESS 130
3.5 LEAKAGE 133
3.5.1 Direct Tunneling 134
3.5.2 Poole–Frenkel Leakage 138
3.5.3 Fowler–Nordheim Tunneling 142
3.5.4 Other Transport Mechanisms of Carriers 143
viii   ◾    Contents

3.6 WORK FUNCTION AND EFFECTIVE WORK


FUNCTION 146
3.6.1 Definition of EWF Based on Terraced SiO2 148
3.6.2 Definition of EWF Based on Terraced High-k
Dielectric 149
3.6.3 Quantitative Analysis of the Effects of Various
Factors on EWF 150
BIBLIOGRAPHY 153

APPENDIX I: PHYSICAL CONSTANTS, 155

APPENDICES II–V: USEFUL DATA FOR MOS INTERFACE


IN PERIODIC TABLE, 157
Preface

B oth of the authors of this book are engaged in metal–oxide–semi-


conductor (MOS) interface research. We have always wanted to write
a short, clear and easy-to-use book to help students answer their doubts in
practice and carry out relevant work as soon as possible. In the long-term
research and guidance of students, we found such a common problem; that
is, although there are many books on physics or semiconductors on the
market, students are often faced with difficulties in getting these books.
They do not have a thorough understanding of MOS interface physics,
they are not familiar with the preparation skills and key technologies of
devices, and more importantly, they lack knowledge because there are few
practical examples that can be referred to, so that sometimes it is difficult
to prepare high-quality MOS device structure, sometimes it is not clear
how to debug the relevant equipment, and even it is difficult to determine
whether the data are accurate. This brings some difficulties for our teach-
ing and students to enter the scientific research.
Here is a simple example. Students often encounter such problems: In
the preparation process of MOS devices, the contact resistance of the back
electrode puzzles everyone. How to prepare high-quality back contact by
simple methods and how to calibrate the equipment to remove the para-
sitic resistance have become very important problems, but this is often not
involved in the common textbooks.
Based on our experience at the Institute of Microelectronics of the
Chinese Academy of Sciences, and combined with some problems accu-
mulated in the early stage, we condensed what we wanted to express to
the readers into this short book. We hope to introduce the MOS interface
physics, process and characterization to readers through simple expres-
sion and try not to use profound formulas and obscure words.

ix
x   ◾    Preface

In the process of writing this book, we have received a lot of support


by many people. This book would not have been completed without them.
First of all, we would like to thank the staff from the publisher Taylor &
Francis Group, especially Ms. SUN Lian and Ms. CHEN Jingying, and
Ms. Vaishnavi Venkatesan from codeMantra for processing our book. In
addition, in the process of writing the book, our colleagues and students
also provided a lot of advices and examples. They are Mr. YAO Peilin,
Dr. HAO Jilong, Ms. YOU Nannan, Ms. ZHANG Qian, Mr. LIU Peng,
Mr. HU Qingyu, Ms. CAO Qianqian, and Mr. Zhang Ziqi. We would also
like to thank the Youth Innovation Promotion Association of the Chinese
Academy of Sciences for its support for this book.

WANG Sheng-Kai & WANG Xiao-Lei


Feb. 2021 in Beijing
Authors

Shengkai Wang is a professor in the Institute of Microelectronics, Chinese


Academy of Sciences. He received Ph.D. from the University of Tokyo in
2011 and has been engaged in Ge, III-V, SiC MOS technology. He has pub-
lished more than 100 papers and authorized 40+ patents.

Xiaolei Wang is a professor in the Institute of Microelectronics, Chinese


Academy of Sciences. He received Ph.D. from the Institute of Micro­
electronics, Chinese Academy of Sciences in 2013 and has been engaged
in Si/Ge based MOS technology. He has published more than 100 papers.

xi
Introduction

0.1 SCOPE AND PLAN OF THE BOOK


As the most important and widely used field-effect structure,
metal–oxide–semiconductor (MOS) is the core component of modern
integrated circuits. For the understanding of MOS system, this book
aims at introducing the MOS interface physics, process and characteriza-
tion to readers practically through simple expressions without profound
formulas and obscure words. As mentioned in the book title, it mainly
covers the related contents from three aspects: interface physics of MOS,
processes of MOS and characterizations of MOS. These three parts con-
stitute the main chapters of the book, Chapters 1–3.
Chapter 1 introduces the interface physics of MOS devices, includ-
ing what is a MOS interface, the physical nature of interface states and
bulk defects, the passivation idea of MOS interface, interface mechanics,
thermodynamics, material chemistry and other physical phenomena in
MOS devices.
Chapter 2 introduces the process technologies of MOS devices, includ-
ing how to prepare a high-quality MOS with detailed experimental skills,
thermal oxidation process and model of Si and other materials, mecha-
nism of typical deposition methods and equipment in MOS devices.
Chapter 3 introduces the characterizations of MOS devices, including
methods for evaluating the density of interface states of MOS, experimen-
tal skills in MOS characterization, hysteresis and bulk charge in MOS, how
to extract equivalent oxide thickness of MOS, what gate leakage stands for
and how to measure it, and the characterization of work function.

DOI: 10.1201/9781003216285-1 1
2   ◾    MOS Interface Physics, Process and Characterization

Since this book aims at the practical dimension, physical formulas and
theoretical content of characterization have not been included. For such
contents, some classic books, for example, “Physics of Semiconductor
Devices” by Prof. S. M. Sze and Kowk. K. Ng or “MOS Physics and
Technology” by E. H. Nicollian and J. R. Brews, could be referred to.

0.2 BRIEF HISTORY OF MOS DEVICES


The invention and application of integrated circuit is the most brilliant
pearl in the history of science and technology in the 20th century. Over
the past 60 years, integrated circuits have not only brought great success
to economic prosperity, social progress and national security, but also
changed people’s production, life and way of thinking. At present, inte-
grated circuits exist everywhere and all the time. She has become an indis-
pensable part of human civilization.
For modern integrated circuits, a MOS device is a very magical part.
From the philosophical point of view, it is the embodiment of human wis-
dom and contains the full and harmonious use of nature. As we all know,
the top three elements in our earth are oxygen, silicon and aluminum.
The early integrated circuit is made by the repeated use of these three ele-
ments, in which silicon constitutes the semiconductor, silicon oxide con-
stitutes the oxide layer and aluminum acts as the metal layer and is also
used as the interconnection material.
The exponential progress in MOS technology, called Moore’s law, is
illustrated by the evolution of the number of MOS transistors integrated
on a single memory chip or a single microprocessor, measured in calendar
years. The increase in integration density is mainly due to the scaling-down
of transistor size. Recently, TSMC officially disclosed the latest details of
3-nm process technology, with transistor density as high as 250 million
per square millimeter. Of course, the proposal of this great structure has
gone through a long incubation period, which can be traced back to the
early 20th century. So first of all, let us take a look at the development his-
tory of MOS structure.
Due to technical limitations, a device called the IGFET was first described
in patents by Lilienfeld and Heil in the 1930s. Julius Edgar Lilienfeld was
born in Lemberg, Austria-Hungary. He proposed a device similar to the
modern metal semiconductor field-effect transistor (MESFET) in 1926. A
few years later, he proposed a device similar to the modern MOS transistor
Introduction   ◾    3

in 1928. In 1932, Igor Yevgenyevich Tamm proposed a concept known


as surface states, which is one of the central topics of this book. Surface
states according to Tamm’s theory are known as Tamm states. Later in
1935, Oskar Heil, a German scientist, proposed the idea of controlling the
resistance in a semiconducting material with an electric field in British
patent 439,457. Note that the pioneering patents by Lilienfeld and Heil
belong to “idea-patent”, and they were not practical due to the technology
limitations. Later in 1939, Shockley proposed his theory on surface states.
Note that surface states that are calculated in the framework of a tight-
binding model are often called Tamm states, while surface states that are
calculated in the framework of the nearly free electron approximation are
called Shockley states. In contrast to the nearly free electron model used to
describe the Shockley states, the Tamm states are suitable to describe also
transition metals and wide-gap semiconductors. On December 23, 1947,
Bardeen and Brattain invented the point-contact transistor, which became
a great milestone in the history of mankind. In 1952, Shockley published
a theoretical paper, indicating the debut of field-effect transistors in the
form of a junction field-effect transistor (JFET).
In 1959, Martin M. Atalla made the first practical MOS transistor based
on Si, after systematical investigation on the surface passivation of silicon
surface by SiO2. Later, he assigned the task to Dawon Kahng, a scientist in his
group. Eventually, Attalla and Kahng announced their successful MOSFET
at a 1960 conference. In 1963, Frank Marion Wanlass from Fairchild
Semiconductor invented the first CMOS under US patent 3,345,858.
In 1965, many achievements have been made. B. E. Deal and A. S. Grove
published their famous paper on “General Relationship for the Thermal
Oxidation of Silicon” that pushed the application of SiO2/Si forward.
Besides the use of the SiO2/Si system, P. Balk reported that hydrogen
annealing was another important technical development to lower the den-
sity of interface states. Also in this year, Gordon Moore made his famous
predication “Moore’s law”. In 1967, R. E. Kerwin et al. first put the Si gate
technology for ICs under US patent 3,475,234, and in 1974, R. H. Dennard
proposed the quantified scaling rule of IC process design, called Dennard’s
rule. Guided by Moore’s law and Dennard’s rule, intentionally or not, the
integrated circuit industry took off to incredible proportions and has
become one of the world’s leading industries. The milestone events in the
history of MOS devices are summarized in Table 0.1.
4   ◾    MOS Interface Physics, Process and Characterization

TABLE 0.1 Milestone Events in the History of MOS Devices


Year People Event Reference
1926 J. E. Lilienfeld The first patent of a device US patent 1,745,175, filed in
similar to the modern 1926 and awarded in 1930
MESFET
1928 J. E. Lilienfeld The first patent of a device US patent 1,900,018, filed in
similar to the modern MOS 1928 and awarded in 1933
transistor
1932 I. Tamm Proposal of a concept known Phys. Z. Soviet Union, vol. 1
as surface states (1932), pp. 733–746
1935 O. Heil A device controlling the British patent 439,457, filed
resistance in a in 1935 and awarded
semiconducting material in 1935
with an electric field
1939 W. Shockley On the surface states Phys. Rev., vol. 56, 317-323
associated with a periodic (1939)
potential
1947 J. Bardeen and Invention of point-contact Bell Labs logbook (December
W. Brattain transistors 1947), pp. 7-8, 24
1953 W. Shockley Invention of junction Proc. IRE, vol. 40, no. 11
field-effect transistors (November 1952),
(JFETs) pp. 1365–1376.
1959 M. M. Atalla Passivation of silicon surface Bell System Technical
state by SiO2 Journal, vol. 38, no. 3
(May 1959), pp. 749–783
1960 D. Kahng and The first practical MOS IRE/AIEE Solid-State Device
M. M. Atalla transistor based on Si Research Conference,
USA, 1960
1963 F. Wanlass Invention of the first CMOS US patent 3,356,858, filed in
1963 and awarded in 1967
1965 B. E. Deal and General Relationship for the J. Appl. Phys. 36, 3770 (1965)
A. S. Grove Thermal Oxidation of Silicon
1965 G. Moore Moore’s law Electronics Magazine vol. 38,
no. 8 (April 19, 1965).
1965 P. Balk Hydrogen annealing to lower Electrochemical Society
the density of surface states Spring Meeting, San
Francisco, California,
USA, 1965
1967 R. E. Kerwin Silicon gate technology US patent 3475234 (filed
et al. developed for ICs March 27, 1967, and issued
October 28, 1969)
1974 R. H. Dennard Scaling of IC process IEEE Journal of Solid-State
et al. design rules quantified Circuits, Vol. 9 (October
(Dennard’s rule) 1974), pp. 256-268
Introduction   ◾    5

BIBLIOGRAPHY
1. Lilienfeld, J.E., Method and apparatus for controlling electric currents,
US Patent 1,745,175, filed in 1926 and awarded in 1930.
2. Lilienfeld, J.E., Device for controlling electric currents, US Patent 1,900,018,
filed in 1928 and awarded in 1933.
3. Heil, O., Improvements in or relating to electrical amplifiers and other
control arrangements and devices, British Patent 439,457, filed in 1935 and
awarded in 1935.
4. Riordan, M., and L. Hoddeson, Crystal Fire: The Invention of the Transistor
and the Birth of the Information Age, (New York, Norton, 1997).
5. Tamm, I., Uber eine mogliche art der elektronenbindung an kristallober-
flachen. Physikalische Zeitschrift der Sowjetunion, 1932. 1, p. 733–746.
(Note: The title of the paper after translation from German to English is
“On the possible bound states of electrons on a crystal surface”. The full
name of the journal is Physik Zeitschrift der owjetunion. This paper was
written in German and has been re-printed in “I.E. Tamm Selected Works”,
edited by B.M. Bolotovskii and V. Ya. Frenkel, Springer-Verlag, Berlin 1991,
pp. 92–102.)
6. Shockley, W., On the surface states associated with a periodic potential.
Physical Review, 1939 56(4): p. 317–323.
7. Shockley, W., A unipolar field-effect transistor. Proceedings of the IEEE,
1952, Nov. 40(11): p. 1365–1376.
8. Dacey, G.C., and I. M. Ross, Unipolar field-effect transistor. Proceedings of
the IEEE, 1953, Aug. 41(8): p. 970–979.
9. Mead, C. A., Schottky barrier gate field effect transistor. Proceedings of the
IEEE, 1966, Feb. 54(2): p. 307–309.
10. Atalla, M.M., E. Tannenbaum, and E.J. Scheibner, Stabilization of silicon
surfaces by thermally grown oxides. Bell System Technical Journal, 1959,
May. 38(3): p. 749–783.
11. Kahng, D., and M.M. Atalla, Silicon-silicon dioxide field induced sur-
face devices. IRE/AIEE Solid-State Device Research Conference, Carnegie
Institute of Technology, Pittsburgh, PA, 1960.
12. Kahng, D., Silicon-silicon dioxide surface device, Technical memoran-
dum of Bell Laboratories issued on January 16, 1961. This paper has been
reprinted in the book Semiconductor Devices: Pioneering Papers, edited
by S. M. Sze, World Scientific, Singapore, 1991: D. Kahng, “Silicon-silicon
dioxide surface device”, p. 583–596.
13. Kahng, D., Electric controlled semiconductor device, US Patent 3,102,230,
filed in 1960 and awarded in 1963.
14. Kahng, D., A historical perspective on the development of MOS transistors
and related devices. IEEE Transactions on Electron Devices, 1976, Jul. 23(7):
p. 655–657.
15. Balk, P., Effects of Hydrogen Annealing on Silicon Surfaces, (Electrochemical
Society Spring Meeting, San Francisco, CA, 1965).
6   ◾    MOS Interface Physics, Process and Characterization

16. Nicollian, E.H. and J.R. Brews, MOS Physics and Technology, (Wiley,
New York, 2003), p. 1–906.
17. Riezenman, M.J Wanlass’s CMOS circuit. IEEE Spectrum, 1991, May (28(5),
p. 44.
Chapter 1

Physics of Interface

1.1 MOS INTERFACE
The metal–oxide–semiconductor (MOS) interface refers to the hetero-
junction interface in the gate structure of the MOS device, as shown in
Figure 1.1. The gate structure of the MOS device is usually composed of a
metal/oxide dielectric/semiconductor substrate, and the oxide dielectric
can be a stack of one or several insulating dielectrics. The interface appears
between different kinds of materials. Therefore, the interface can be con-
sidered as the boundary between any two different materials. It should be
noted that in most cases, the interface does not refer to a surface of infini-
tesimal thickness, but refers to the transition area between two different
materials, and it is a thin layer with a certain thickness, usually about 3 Å.
The following types of interfaces in the MOS gate structure can
appear: oxide/semiconductor interface, oxide/oxide interface and metal/
oxide interface. Generally speaking, the characteristics of the oxide/

FIGURE 1.1 Schematic of modern metal–oxide–semiconductor (MOS) device.

DOI: 10.1201/9781003216285-2 7
8   ◾    MOS Interface Physics, Process and Characterization

semiconductor interface are the most important. It seriously affects the


gate control capability of the MOS devices, the mobility of carriers on the
semiconductor substrate and the reliability of the MOS gate structure. In
addition, after the introduction of high dielectric constant gate dielectric
(such as HfO2) into the gate structure of silicon-based MOS devices, the
HfO2/SiO2 interface also plays an important role, involving the shift of the
device threshold voltage and the reliability of the gate structure.

1.2 THE PHYSICAL NATURE OF INTERFACE


STATES AND BULK DEFECTS
The concept of interface states does not belong to the category of classical
physics, but belong to the category of quantum mechanics and solid-state
physics. The interface state refers to the real-space distribution of the elec-
tronic wave function near the interface, i.e., the electronic wave function
attenuates to both sides of the interface. The electronic energy level cor-
responding to the interface state is usually located inside the band gap. The
study of the interface states has been going on for nearly hundred years,
but it is still not fully understood. The research on the interface state of
silicon semiconductor is the most complete, and the understanding is the
most profound. Here we take the interface state of silicon as an example.
The physical origin of the interface state of silicon is often attributed to
dangling bonds, or Pb centers. This concept is actually a visual explana-
tion given from a chemical point of view. From a physical point of view, it
needs to be considered from the energy band point of view. The interface
state is actually not generated out of thin air, but the energy level in the
conduction band or valence band of silicon is pulled into the forbidden
band, and then becomes the interface state, as shown in Figure 1.2.
Due to the interrupt of periodicity at the SiO2/Si interface, the solutions
of Schrödinger’s equation with complex wave vectors become of physi-
cal relevance for energies within band gaps, resulting in gap states at the
SiO2/Si interface. These interfacial gap states are derived from the virtual
gap states of the complex band structure of the silicon semiconductor,
and they may arise from intrinsic, defect, or structure induced gap states.
They consist of valence- and conduction-band states. The characteristics
of these gap states change across the band gap from predominately donor-
to acceptor-like closer to the valence band top and the conduction band
bottom, respectively. The energy at which their characteristic changes is
called their branch point, or most generally, charge neutrality level (CNL).
Physics of Interface   ◾    9

FIGURE 1.2 Origin of interface trap.

This energy level shift comes from the change of the potential energy at
the interface relative to the potential energy inside the silicon. The physical
sources of these changes include dangling bonds and interface structure
relaxation. It should be noted here that certain chemical bonds can also
lead to the interface state energy level. For example, the Ga-O bond on the
surface of InGaAS has the bond energy in the InGaAS forbidden band.
Although there is no dangling bond, the bond energy position deviates
from the conduction. Band or valence band can still lead to interface states.
The physical nature of bulk defects originates from atomic vacancies,
interstitial atoms, replacement atoms, dislocations, structural changes,
etc. Any deviation from the perfect structure of the material may cause
defects. Corresponding to the gate structure of MOS devices, body defects
often involve oxygen vacancies. Similar to the generation process of the
interface state, the body defect energy level also pulls the electron energy
level from the conduction or valence band into the forbidden band.

1.3 MOS INTERFACE PASSIVATION METHODS


The method of interface passivation comes from the physical nature of
interface defects. At present, the most well-researched semiconductor sub-
strates include silicon, germanium, silicon germanium and III-IV semi-
conductors such as InGaAS. The origins of the interface states of these
10   ◾    MOS Interface Physics, Process and Characterization

semiconductors are slightly different, so they need to be treated separately.


The following describes the passivation ideas of each semiconductor.
For silicon semiconductor, dangling bonds are the main source of the
interface states. Therefore, the dangling bonds that passivate silicon are
the guiding ideology. Hydrogen atoms can passivate the dangling bonds
of silicon and move its defect energy level to the inside of the conduction
band or valence band. Therefore, in the process of silicon MOS devices,
forming gas annealing (FGA) is often performed in a hydrogen atmo-
sphere to effectively passivate the dangling bonds of silicon.
For germanium semiconductor, the physical origin of the interface
state is generally believed to come from the dangling bonds of germa-
nium. Unlike silicon semiconductors, hydrogen atoms cannot passivate
the germanium dangling bonds well. This shows that the physical origin
and passivation of the interface state cannot be understood simply from
a chemical point of view, i.e., from the point of view of dangling bonds
or atomic bonding. It still needs to return to deeper physical methods,
such as first-principles calculations, to accurately understand the physical
origin and passivation of interface states. This inconvenience is the lack of
easy-to-understand physical images to guide actual projects, especially for
engineers and technicians, which is difficult to understand.
For silicon germanium semiconductor, the physical origin of the inter-
face state is mainly related to the Ge-O bond at the interface. The fewer
Ge-O bonds, the smaller the interface state. This shows that the Ge-O
bond can induce interface states, although it does not produce the dan-
gling bonds. Therefore, inhibiting the formation of Ge-O bonds is the
guiding ideology of silicon germanium semiconductor passivation. This
involves the interface thermodynamic/thermodynamic process, i.e., the
oxidation process of the silicon germanium semiconductor. Current
research has found that silicon oxide has a smaller Gibbs free energy than
germanium oxide, which means it is easier to form. Therefore, anneal-
ing at an appropriate temperature (such as about 500°) can transform the
oxide of germanium to the oxide of silicon, which is beneficial to reduce
the interface state density. Another passivation idea is to prevent the for-
mation of Ge-O bonds, such as epitaxial silicon thin layers on silicon ger-
manium. This method can achieve the interface state density comparable
to silicon passivation levels.
For III-IV semiconductors such as InGaAS, the physical origin of the
interface state is the existence of Ga-O bonds. Therefore, inhibiting the
Physics of Interface   ◾    11

formation of Ga-O bond is the guiding method for passivation. This also
involves the interface thermodynamics/thermodynamic processes; how-
ever, the research in this area is still not clear.

1.4 INTERFACE THERMODYNAMICS
The interface thermodynamics/thermodynamic process of semiconduc-
tors is still not fully understood and mastered. The research on the inter-
face thermodynamics of silicon is the most profound. Therefore, here is an
example of silicon to introduce the research progress of its interface ther-
modynamics. During the thermal oxidation and growth of SiO2 on the
silicon substrate, a transition layer appears between the silicon substrate
and SiO2. The atomic ratio of oxygen to silicon in this transition layer is
less than 2, and the space thickness is about 7 Å. Dissociative adsorption
of O2 molecule occurs via a charge transfer at the dangling bond site not
only on Si surfaces but also at SiO2/Si interfaces. During the oxidation,
the chemically active dangling bond is persistently supplied at the SiO2/
Si interface by the pint defect generation (emitted Si atom and vacancy)
due to the intrinsic (oxidation-induced) and extrinsic (thermally induced)
strain with assistance of the heat of adsorption and the thermal activation.
The high dielectric constant gate dielectric has been widely doped into
the MOSFET devices, and the interface between the high dielectric con-
stant gate dielectric and the silicon substrate is discussed here. Here we
take hafnium oxide as an example for discussion. In experiments, there
appears SiOx or HfSiO between the HfO2 and silicon substrates, which
indicates that HfO2 and Si are prone to reaction and proceed in the direc-
tion of reducing Gibbs free energy. The formation of SiOx or HfSiO is con-
ducive to reducing Gibbs free energy.
Hereafter, we discuss the oxidation of Ge substrate in ozone. Figure 1.3
shows the GeOx thickness as a function of ozone oxidation time. The oxida-
tion temperature is in the range from 80°C to 400°C. From Figure 1.3, we
can find an increase in the oxidation rate with higher temperature. After
25-min oxidation in ozone, the physical thicknesses of GeOx are about 2.8,
5.28, 7.8, 9.7 and 12.3 Å at 80°C, 250°C, 300°C, 350°C and 400°C, respec-
tively. Furthermore, an initially linear growth of GeOx thickness versus
time is observed below ~10 s, and then, it becomes parabolic as the oxida-
tion time increases. The two different growth modes suggest that there are
two different physical/chemical oxidation mechanisms in the oxidation
process. Moreover, the oxidation phenomenon has been well observed
12   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.3 GeOx thickness vs. oxidation time at temperatures from 80°C
to 400°C.
for Si substrate, which can be effectively interpreted and described by the
Deal–Grove or linear parabolic model. As a result, in the region of ini-
tially linear growth in Figure 1.3, the oxidation process is determined by
chemical reaction, which occurs at the GeOx/Ge interface. However, in the
region of parabolic growth, the oxidation is considered to be limited by
diffusion process of oxygen atoms through GeOx film.
In order to accurately well understand the reaction process of Ge oxida-
tion by ozone, the Arrhenius temperature dependence of oxidation process
is a good method and measured for each oxidation growth region. Figure
1.4 shows the Arrhenius plot of linear rate constant (B/A) in the initially
linear growth region. The B/A is obtained by fitting linear region in Figure
1.3 using the Deal–Grove model. The activation energy is then calculated
to be 0.06 eV. This rather small activation energy means that the initially
linear growth is nearly barrier-less. And this activation energy is approxi-
mately equal to that of Si surface oxidation by ozone. For the parabolic
oxidation region, the activation energy is extracted to be 0.54 eV, which
is rather reduced compared to the general reported value of thermal oxi-
dation in O2 (~1.7 eV). The small activation energy in ozone oxidation is
mainly because of the higher reactivity of oxygen atoms rather than ­oxygen
molecules to diffuse through GeOx, breaking Ge-Ge bond and creating
Physics of Interface   ◾    13

FIGURE 1.4 Arrhenius plots for initially linear region and following parabolic
region. The Ea means activation energy.
Ge-O-Ge bond. Therefore, the atomic species during the ozone oxidation
induces a reaction pathway, which is much more effective than O2 species.

1.5 QUANTUM CONFINEMENT EFFECT IN MOS


First, introduce the quantum confinement effect of semiconductor sub-
strate. When the semiconductor substrate is in the strong inversion type,
the semiconductor forms a barrier near the interface. This barrier causes
the redistribution of the carrier energy level and wave function. It is neces-
sary to re-solve the self-consistent Schrodinger–Poisson equation, and the
result is that at the surface, the depletion phenomenon of carriers occurs,
and the space range is about 3 Å. This will contribute an additional equiv-
alent oxide thickness of the gate dielectric, which is not conducive to the
increase in the capacitance of the gate dielectric (Figure 1.5).
The quantum confinement effect will contribute additional gate-equiv-
alent oxide thickness, reduce the gate capacitance and then reduce the gate
control capability and channel current. However, the quantum confine-
ment effect keeps the carriers away from the interface, which can suppress
the interface roughness scattering and the remote Coulomb scattering of
the gate charge, thereby increasing the mobility.
After the introduction of high dielectric constant gate dielectrics, the
concept of equivalent oxide thickness is often used. When extracting the
14   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.5 Quantum confinement in semiconductor inversion layer.

equivalent oxide thickness from the C–V curve of the MOS capacitor, the
quantum confinement effect needs to be considered. There are two ways to
remove the quantum confinement effect. One is to calculate the equivalent
capacitance thickness of the gate structure through the capacitance value
of the accumulation zone, and then subtract the quantum confinement
effect contribution. For the silicon substrate, usually it is about 3–4 Å. The
second method is to solve the self-consistent Schrödinger–Poisson equa-
tion of the silicon substrate and solve the self-consistent solution con-
sidering the quantum confinement effect, i.e., the relationship between
the charge of the silicon substrate and the surface potential. Then, the
capacitance–voltage curve of the entire gate structure is simulated. After
­fitting the experimental curve, the final equivalent oxide layer thickness
is obtained. This value has already removed the influence of the quantum
confinement effect.

1.6 INTERFACIAL DIPOLE IN MOS GATE STACKS


The interface electric dipole is introduced. The electric dipole moment is
a measure of the separation of positive and negative electrical charges in
a system of charges, i.e., a measure of the charge system’s overall polarity.
Figure 1.6 schematically shows positive and negative dipoles at high-κ/
SiO2 interface. A positive dipole will increase the effective work function
(EWF) of metal gate, while a negative dipole will decrease the EWF of the
metal electrode as shown in Figure 1.7.
Figures 1.8–1.10 show the investigation on the location of interfacial
dipole. The bilayer high-k dielectrics are used to demonstrate if the dipole
is located at the high-k/SiO2 interface. Shown in Figure 1.8 is the VFB shift
Physics of Interface   ◾    15

FIGURE 1.6 Schematic of dipole formation at high-k/SiO2 interface. (a) A posi-


tive dipole; (b) a negative dipole.

FIGURE 1.7 Schematic of band alignment of gate stacks illustrating the effect
of dipole formation at high-k/SiO2 interface on EWF of the metal gate. (a) No
dipole; (b) positive dipole; (c) negative dipole.

FIGURE 1.8 VFB shift of NiSi/Al2O3/HfO2/SiO2/Si-stacked structure with (w/) or


without (w/o) 1000°C PDA (post deposition annealing). PDA is only performed
for the bottom-HfO2 layer. VFB shift behavior with PDA is very similar to that
without PDA.
16   ◾    MOS Interface Physics, Process and Characterization

of NiSi/Al2O3/HfO2/SiO2/Si stack. It can be seen that the VFB of the gate


stack with the top Al2O3 dielectric is approximately the same as that with-
out Al2O3 dielectric. The same results are observed for the NiSi/HfO2/
Y2O3/SiO2/Si and NiSi/HfO2/Al2O3/SiO2/Si stacks as shown in Figures 1.9
and 1.10. This means that the top-layer dielectric has no effect on the VFB
shift and that the dipole is not located on the metal/high-k and top high-k/
bottom high-k interfaces. Inversely, the insertions of bottom-layer high-k
dielectric have a significant effect on the VFB shift of the gate stacks. For
example, the insertion of HfO2 layer between the Al2O3 and SiO2 layers
induces a negative VFB shift of ~0.4 V, shown in Figure 1.8, and the inser-
tion of Y2O3 between HfO2 and SiO2 layers results in a negative VFB shift of
~0.5 V, as shown in Figure 1.9. The introduction of Al2O3 into the HfO2/
SiO2 interface makes a positive VFB shift of 0.4 V, as shown in Figure 1.10.
It can be concluded that the dipole is located at the high-k/SiO2 interface.
Even though intensive research has been done in the past 5 years about
the dipole formation at high-k/SiO2 interface, the exact effect of interfacial
dipole on the EWF shift and the physical origin of the dipole formation
are still in debate. In this section, the definition of the interfacial dipole
will be first discussed, and then the extraction method of dipole moment
will be given. Finally, the physical origins of the dipole formation pro-
posed in the literature are investigated.

FIGURE 1.9 VFB shift of NiSi/HfO2/Y2O3/SiO2/Si-stacked structure with (w/) or


without (w/o) 1000°C PDA. PDA is only performed for the bottom-Y2O3 layer. VFB
shift behavior with PDA is very similar to that without PDA.
Physics of Interface   ◾    17

FIGURE 1.10 VFB shift of NiSi/HfO2/Al2O3/SiO2/Si-stacked structure w/ or w/o


1000°C PDA. PDA is only performed for the bottom-Al2O3 layer. The positive
VFB shift by about 0.2 V is observed with 1000°C PDA process on Al2O3/SiO2
interface.

1.7 EXTRACTION METHOD OF DIPOLE


FORMATION AT HIGH-K/SIO2 INTERFACE

1.7.1 Capacitance–Voltage Method
This method was proposed by our previous work. For MOS capacitors
with metal/high-k/terraced-SiO2/Si stack as shown in Figure 1.11, the VFB
of this structure is given as follows:

2
QSiO2 ,Si EOT ρ bulk,SiO2 EOT 2 Qhigh-k,SiO2 dhigh-k ρ bulk,high-k dhigh-k
VFB = φms − − − −
ε 0ε SiO2 2ε 0ε SiO2 ε 0ε high-k 2ε 0ε high-k
2
ρ bulk,SiO2 ε SiO2 dhigh-k
+ 2
+ ∆Vhigh-k ,SiO2 + ∆Vmetal,high-k (1.1)
2ε 0ε high-k


where EOT is the equivalent oxide thickness of the whole metal/high-k/


SiO2/Si stack. ϕms is the vacuum work function difference between metal
gate and Si substrate. QSiO2 ,Si and Qhigh-k,SiO2 are the areal charge densities
(per unit area) at SiO2/Si and high-k/SiO2 interfaces, respectively. ρ bulk,SiO2
18   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.11 Schematic of metal/high-k/terraced-SiO2/Si structure.

and ρ bulk,high-k are the bulk charge densities (per unit volume) in SiO2 and
high-k dielectric. ∆Vhigh-k ,SiO2 and ∆Vmetal,high-k are the VFB shift moments
due to the possible dipole at high-k/SiO2 interface and Fermi-level pinning
(FLP) at metal gate/high-k interface. ε0, ε SiO2 , and εhigh-k express the vac-
uum permittivity, the relative permittivity of SiO2 and high-k dielectric,
respectively. dhigh-k is the physical thickness of high-k dielectric. Then,
the intercept (I) of VFB – EOT plot can be obtained to be

2 2
Qhigh-k ,SiO2 dhigh-k ρ bulk,high-k dhigh-k ρ bulk,SiO2 ε SiO2 dhigh-k
I = φ ms − − + 2
ε 0ε high-k 2ε 0ε high-k 2ε 0ε high-k
   + ∆Vhigh-k ,SiO2 + ∆Vmetal,high-k (1.2)

Then, the EWF of metal gate is expressed as

2
Qhigh-k ,SiO2 dhigh-k ρ bulk,high-k dhigh-k
EWF = φm − −
ε 0ε high-k 2ε 0ε high-k
2
ρ bulk,SiO2 ε SiO2 dhigh-k
   + 2
+ ∆Vhigh-k ,SiO2 + ∆Vmetal,high-k (1.3)
2ε 0ε high-k

It can be concluded that the EWF of the metal gate includes not only the
contribution from the vacuum work function of the metal gate, but also
the contributions from the following parameters: the areal charge at high-
k/SiO2 interface, the bulk charges in the high-k dielectric, the dipole at the
high-k/SiO2 interface and the FLP at the metal/high-k interface.
Equation (1.2) shows that I is a quadratic function of dhigh-k and that the
intercept of I−dhigh-k plot is the sum of ∆Vhigh-k ,SiO2 , ∆Vmetal,high-k , and ϕms. The
∆Vhigh-k ,SiO2 can be obtained if the ΔVmetal, high-k and ϕms are known. The ϕms
Physics of Interface   ◾    19

can be experimentally obtained by considering a control sample with a


structure of metal gate/terraced-SiO2/Si, i.e., no high-k dielectric is used.
For this case, the VFB is given by

QSiO2 ,Si EOT ρ bulk,SiO2 EOT 2


VFB = φms − − (1.4)
ε 0 ε SiO2 2ε 0 ε SiO2

where ϕms is just the intercept of VFB–EOT plot. In addition, ΔVmetal, high-k
has been demonstrated to be 0 V for the metal gate without Si component/
high-k stacks such as TiN/HfO2, TiN/Al2O3 and TiN/Y2O3 stacks. Thus,
∆Vhigh-k ,SiO2 can be quantitatively extracted. Furthermore, the Qhigh-k ,SiO2
and ρ bulk,high-k can also be calculated through fitting the linear and qua-
dratic parameters of Equation (1.2), respectively.

FIGURE 1.12 Extraction of dipole at HfO2/SiO2 interface based on C–V method.

FIGURE 1.13 Extraction of dipole at HfGdOx/SiO2 interface based on C–V method.


20   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.14 Extraction of dipole at Al2O3/SiO2 interface based on C–V method.


Here, the EOT of Al2O3 is used instead of physical thickness of Al2O3.
Figures 1.12–1.14 show the extraction of the dipole moment at HfO2/
SiO2 , HfGdOx/SiO2 and Al 2O3/SiO2 interfaces based on this method,
respectively. It should be noted that the dipole moment is obtained.
This result is obviously different from the reported data by Kamimuta
et al. In that case, the ∆Vhigh-k ,SiO2 is estimated to be about +0.31 V by
considering the difference of metal EWF on HfO2 and SiO2 , as shown in
Figure 1.15. And the EWF of the metal gate on HfO2 is obtained from
the linear relationship of VFB –EOT plot for the MOS capacitors with
metal/terraced-HfO2/4-nm-thick SiO2/Si structure. For the case with
terraced-HfO2 structure, other groups also performed lots of studies.

FIGURE 1.15 Extraction of dipole at HfO2/SiO2 interface by comparing the


EWF shift.
Physics of Interface   ◾    21

Similarly, however, the effects of dipole and FLP are not considered
sufficiently. In this work, a comprehensive relationship of VFB -EOT is
expressed as shown in Equation (1.4). By combining Equations (1.3) and
(1.4) and considering that ΔVmetal, high-k and ρ bulk,SiO2 are negligible, the
difference of EWF on HfO2 and SiO2 can be given by

2
ε high-k ρ bulk,high-k EOTSiO Qhigh-k ,SiO2 EOTSiO2
∆EWF = − 2
2
+ + ∆Vhigh-k ,SiO2 (1.5)
2ε 0 ε SiO2 ε 0 ε SiO2
It can be seen that the ΔEWF is not only resulted from the ∆Vhigh-k ,SiO2 , but
also from the ρ bulk,high-k , and Qhigh-k ,SiO2 . Thus, the ΔEWF is not simply equal
to the ∆Vhigh-k ,SiO2 .

1.7.2 Method Based on X-ray Photoemission Spectroscopy


X-ray photoemission spectroscopy (XPS) is widely used to investigate the
bonding state of atoms. In addition, XPS can also be employed to demon-
strate the band offset of the heterojunction such as high-k/Si contact. The
difference of binding energy of core levels can be obtained precisely by the
XPS measurement. The changes in difference of binding energy of core levels
indicate the band alignment of the whole gate stack. The interfacial dipole
formation affects the band alignment of the gate stack, as shown in Figures
1.16–1.18. The effects of positive and negative dipoles on the band alignment

FIGURE 1.16 Schematic picture of the band alignment diagram in HfO2/SiO2/Si


stacks with a positive interfacial dipole. CB and VB denote the conduction-band
and valence-band edges, respectively.
22   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.17 Schematic picture of the band alignment diagram in SiO2/Si


stacks without an interfacial dipole. CB and VB denote the conduction-band and
valence-band edges, respectively.

FIGURE 1.18 Schematic picture of the band alignment diagram in Y2O3/SiO2/Si


stacks without a negative interfacial dipole. CB and VB denote the conduction-
band and valence-band edges, respectively.
Physics of Interface   ◾    23

are schematically demonstrated. For a positive dipole at high-k/SiO2 inter-


face as shown in Figure 1.16, there is downward band bend for SiO2 at the
high-k/SiO2 interface, while upward for HfO2. So the distance of Si 2p core
levels between SiO2 and Si substrates increases compared with that with no
dipole as shown in Figure 1.17. For a negative dipole at high-k/SiO2 interface
as shown in Figure 1.18, upward band bend exists on the SiO2 side, while
downward on the high-k dielectric side. So the distance of Si 2p core levels
between SiO2 and Si substrates decreases compared with that with SiO2/Si
structure as shown in Figure 1.18. Thus, the measurement of Si 2p core levels
between SiO2 and Si substrate can be employed to investigate the interfacial
dipole formation. Several works have been done to assess the dipole forma-
tion at HfO2/SiO2, Al2O3/SiO2, Y2O3/SiO2 and LaAlOx/SiO2 interfaces. The
determination of exact magnitudes of dipoles is difficult by XPS measure-
ment. The magnitude of interfacial dipole cannot be directly measured by
XPS, and the dipole formation can only be indirectly characterized using the
method.
The XPS method is successfully used in characterizing the dipole for-
mation at the high-k/SiO2 interface. In addition, it can give information
about band alignment at heterostructures such as the high-k/SiO2 inter-
face. Thus, it is very useful and powerful in investigating the physical
origin of the dipole formation at high-k/SiO2 interface. An important dis-
advantage of this method is the difficulty of correction of charging effect.
The charging effect can affect the absolute values of binding energy and
make the determination of the core levels of designated atoms unreliable.
These result in the limitation in directly investigating the band bend of
high-k dielectrics or SiO2.

1.7.3 Method Based on Internal Photoemission


Internal photoemission spectroscopy (IPE) provides the most straightfor-
ward way to characterize the relative energies of electron states at inter-
faces of insulators with metals and semiconductors by measuring the
spectral onset of electron/hole photoemission from one solid into another
as shown in Figure 1.19. The physical transparency and simplicity of the
charge carrier photoemission process are seen to make the IPE a most
direct and reliable method of interface barrier characterization.
The dipole magnitude at HfO2/SiO2 interface has been investigated to
be +0.3 V. The distance from Fermi level to the conduction band mini-
mum is experimentally to be 2.3 eV for the Al/HfO2/SiO2/Si stack, and
24   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.19 Photon-excited electron transitions in Si/metal oxide (MeOx)/


metal structures with positive (a) and negative (b) gate voltages.
the barrier height between the valence band maximum of Si substrate
and conduction band minimum of HfO2 is 3 eV. Considering the band
gap of Si substrate as 1.12 eV, the conduction-band offset between Si sub-
strate and HfO2 is 1.9 eV. Considering that the conduction band offset of
Si substrate and SiO2 is 3.15 eV and the electron affinity of SiO2 is 0.9 eV, a
potential drop of 0.3 V is found at the HfO2/SiO2 interface, i.e., the mag-
nitude of dipole at HfO2/SiO2 interface is 0.3 V with the positive charges
on the HfO2 side.
Similar to XPS measurement, IPE can provide the band alignment of
the gate stacks directly. In addition, there is no charging effect in IPE as
the XPS measurement. Thus, IPE is a powerful method in assessing the
physical origin of dipole formation at high-k/SiO2 interface.

1.8 PHYSICAL ORIGIN OF DIPOLE FORMATION


AT HIGH-K/SIO2 INTERFACE
Several models about the physical origin of dipole formation at high-k/
SiO2 interface have been proposed, such as electronegativity model, areal
oxygen density model and interface induced gap states model. In this sec-
tion, the above models are described in detail.

1.8.1 Electronegativity Model
This model uses gate stacks with metal gate/capping layer/HfSiON/SiO2/
Si stack. The capping layers are rare earth (RE)-based high-k dielectrics
Physics of Interface   ◾    25

such as La, Sc, Er and Sr. After 1070°C source/drain activation annealing,
the combination of capping layer and the HfSiON occurs, and the Hf(RE)
SiON dielectric is formed. Then, the VFB of MOS capacitors with different
gate dielectric is measured, and the VFB with the capping layers is more
negative than that without capping layers, with the sequence of SrO < Er <
Ec+Er < LaO < Sc < none.
Then, a model based on the radii of atoms and the electronegativity
is proposed to explain dipole formation at high-k/SiOx interface. Both
the Hf-O bond and RE-O bond form at the high-k/SiOx interface as
shown in Figure 1.20. The Hf-O bond is toward SiOx interlayer with the
positive charges on the high-k dielectric side, while the RE-O bond is
toward high-k dielectric with the positive charges on the SiOx side. The
magnitude of interfacial dipole moment is determined by +Q (cation)
and −Q (anion), separated by a distance d, given by D = Q × d. The RE-O
dipole moment (D RE-O) is larger than the Hf-O dipole moment (D Hf-O)
because RE is less electronegative (>Q) and has a larger cationic radius
(>d). Thus, the net dipole moment vector points from SiOx toward high-
k dielectric and shifts the metal EWF negative, depending on the dop-
ant type. Both electronegativity and ionic radius increase in the order:
Sc < Er < La < Sr. Correspondingly, VFB tuning should be the lowest for Sc
and the highest for Sr.

FIGURE 1.20 Schematic of electronegativity model explaining interface dipole


formation and moment.
26   ◾    MOS Interface Physics, Process and Characterization

Even though the electronegativity model successfully explains the above


experimental phenomenon, there are some problems about this model.

1. This model cannot explain the negative dipoles at the La2O3/SiO2


and the Y2O3/SiO2 interfaces.
2. The exact depth profile of dopant diffusion needs further determina-
tion. Since the dopant is diffused from high-k dielectric to SiOx inter-
layer, there should be more dopant on the high-k side, but not on SiOx
side. The electronegativity model, however, presumes that the concen-
tration of dopant is larger on the SiOx side than on the high-k side.

1.8.2 Areal Oxygen Density Model


In this model, an areal density difference of oxygen atoms at high-k/SiO2
interface is considered as an intrinsic origin of the dipole formation. The
oxygen movement from a higher oxygen density side to a lower oxygen
density one will determine the direction of interface dipole as shown in
Figure 1.21. There exist positive charges on the oxide side with higher oxy-
gen density, while negative charges are on the oxide side with lower oxy-
gen density. The oxygen densities of HfO2 and Al2O3 are larger than that of
SiO2, while those of Y2O3 and La2O3 are smaller. So positive dipole will be
deduced at HfO2/SiO2 and Al2O3/SiO2 interfaces, while negative dipoles at
Y2O3/SiO2 and La2O3/SiO2 interfaces. The predicted directions and values
of dipoles based on this model are consistent with the experimental values
as shown in Figure 1.22.

FIGURE 1.21 Schematics of oxygen density model to explain the dipole forma-
tion at high-k/SiO2 interface based on the difference of areal density of oxygen
atoms for the case that high-k oxide has smaller oxygen density than SiO2.
Physics of Interface   ◾    27

FIGURE 1.22 Experimental results of dipoles at high-k/SiO2 interfaces.

The oxygen density model successfully interprets the direction and val-
ues of dipoles at four different high-k/SiO2 interfaces. This model, however,
needs a solid theoretical foundation for comprehensive understanding.

1.8.3 Interface Induced Gap States Model


This model discusses the dipole formation based on band alignment of
the gate stack. In general, as a high-k dielectric contacts with a SiO2 film
closely, the mismatch of two materials causes energy band discontinuity
at the interface, which further induces gap states and related charges on
both sides. To quantitatively demonstrate the effect of induced gap states
and related charges on the electrical properties of MOS device with high-
k/metal gate structure, especially on VFB shift, a model of dielectric contact
induced gap states (DCIGS) is proposed. The DCIGS model is built on the
base of modified metal induced gap state (MIGS) model.
There are two basic models on MIGS, i.e., the fixed separation model
and negative charge model. The former assumes that there exists a gap
between the metal and the semiconductor, but no spatial distribution of
the MIGS charges; the latter assumes that the distribution of the MIGS
charges is spatially exponentially extended, but there is no gap.
The DCIGS model considers not only dielectric and dielectric contact,
but also the theoretical assumptions of interface gap between two mate-
rials and spatially extended exponential distribution of DCIGS charges.
The induced gap states are assumed to localize in the forbidden region
between conduction band and valence band. The detailed energy band
diagram of high-k/SiO2 system based on the DCIGS model is shown in
Figure 1.23. ϕ 0 is used to specify the lowest energy level of DCIGS and
measured from the valence-band edge of dielectric. The value of ϕ 0 is not
28   ◾    MOS Interface Physics, Process and Characterization

given accurately because of the lack of precise calculation data. However,


it can be estimated quantitatively by referencing the case of MIGS model.
The ϕ 0 in MIGS model is about one-third of Si band gap. Similarly, the
ϕ 0 in the DCIGS model is assumed to be about one-third of the dielec-
tric band gap. We consider both high-k and SiO2 have acceptor surface
states whose density is DSS, high-k for high-k and DSS, SiO2 for SiO2. DSS is
assumed to be a constant over the energy range from ϕ 0 to Fermi level for
both high-k and SiO2. QSS is the DCIGS charges. QSC represents the space
charges. qΔ is the potential drop in the dielectric due to QSS, and qΔ is the
potential drop across the gap.
In Figure 1.23a, the DCIGS on SiO2 side are assumed to play a dominant
role in the energy band bending of high-k/SiO2 system compared with that
on high-k side, so only DSS, SiO2 is considered in Figure 1.23b and DSS, high-k is

FIGURE 1.23 The detailed energy band diagrams of high-k/SiO2 systems based
on DCIGS model. (a) and (b) correspond to the case that DCIGS on SiO2 side play
a dominant role before contact (a) and at thermal equilibrium after contact (b).
(c) and (d) correspond to the case that DCIGS on high-k side play a dominant role
before contact (a) and at thermal equilibrium after contact (b). The magnitude of
interface dipole is equal to the sum of qΔ1, qΔ2 and qΔ.
Physics of Interface   ◾    29

ignored for analysis simplification. Because the density of DCIGS charges


is usually orders of magnitude higher than the space charge density, elec-
trons will flow from high-k to SiO2. So negative charges are built up on
SiO2 side, and equal positive charges on high-k side. Considering the gap
between high-k and SiO2 and spatially extended exponential distribution
of QSS, the detailed energy band diagram at thermal equilibrium is shown
in Figure 1.23b. There occurs a peak in the energy band diagram due to
the exponential distribution of QSS. A positive potential drop crosses the
interface from high-k to SiO2. Consequently, a positive dipole at high-k /
SiO2 interface is induced. On the other hand, if DSS, high-k plays a dominant
role and DSS, SiO2 is ignored, a negative dipole formation can be demon-
strated as shown in Figure 1.23c and d.
Furthermore, magnitude of dipole is studied from the viewpoint of
band alignment of high-k/SiO2 systems by resolving the Poisson’s equa-
tion. In Figure 1.23b, the bulk density of DCIGS charges on SiO2 side ρ SS is
assumed to decay exponentially into SiO2, and ρSS is given by

QSS  z
ρSS = exp  −  (1.6)
λ  λ

where QSS, the areal density of the net DCIGS charges on SiO2 side, is
negative because acceptor DCIGS have been assumed above. λ is the pene-
tration depth of DCIGS. The z axis is defined normal to high-k/SiO2 inter-
face. The zero point lies to SiO2 surface, and positive direction points to
internal SiO2. QSS is given by

QSS = −eDSS,SiO2 ( EF − φ0 ) (1.7)

So the Poisson’s equation on SiO2 side is expressed as

d 2φ QSS  z
=− exp  −  (1.8)
2
d z ε 0 ε SiO2 λ  λ

And qΔϕ is calculated to be

λQSS
q∆φ = − (1.9)
ε 0 ε SiO2
30   ◾    MOS Interface Physics, Process and Characterization

qΔ is equal to

δ gapQSS
q∆ = − (1.10)
ε 0 ε int

δgap and εint are the thickness and permittivity of the gap, respectively. So
the magnitude of the positive interface dipole is expressed as

eλ DSS,SiO2 ( EF − φ0 ) eδ gap DSS,SiO2 (EF − φ0 )


∆V = + (1.11)
ε 0 ε SiO2 ε 0 ε int
Similarly, the magnitude of negative interface dipole in Figure 1.23d is
given by

eλ DSS,SiO2 ( EF − φ0 ) eδ gap DSS,high-k ( EF − φ0 )


∆V = − − (1.12)
ε 0 ε high-k ε 0 ε int

Furthermore, the CNL is employed for the first time to extract the direction
and magnitude of the dipole at high-k/SiO2 stacks. The DCIGS model dis-
cusses the dipole formation from the energy band alignment of the high-k and
SiO2 using the DCIGS and bulk states. It is because that the DCIGS and bulk
states determine the energy band alignment of the high-k and SiO2 contact.
The Fermi levels are consistent for the high-k and SiO2 contact at the thermal
equilibrium. And the charge transfer between the high-k and SiO2 is deduced
by the requirement of the energy band alignment. Considering the definition
of the interface dipole, it is concluded that the physical origin of the dipole for-
mation should be demonstrated from the viewpoint of the band alignment,
which is the DCIGS model for the high-k and SiO2 contact.
The DCIGS theory for high-k and SiO2 contact can be considered to
be an extension of the gap states concept, which is initially used in dis-
cussing the energy band structures of metal and semiconductor con-
tact or semiconductor and insulator contact. The MIGS is usually used
to interpret the metal and semiconductor contact. A similar approach
for contact between two semiconductors or between semiconductor and
insulator is proposed by using CNL in analogy with Fermi level. The
high-k dielectric and SiO2 can be regarded as wide bandgap semiconduc-
tors. Naturally, we can employ the DCIGS and CNL concepts to describe
and determine the energy band structure lineup for high-k dielectric
and SiO2 contact.
Physics of Interface   ◾    31

FIGURE 1.24 The schematic diagram of energy band structure for a negative
dipole formation in a high-k/SiO2 system.

For the high-k and SiO2 contact, the DCIGS that derives from the
virtual gap states of the complex band structure of the dielectrics is pre-
sumably considered to exist on both sides of the two dielectrics because
of the spatial interruption of their respective atom distribution struc-
tures. The DCIGS is an intrinsic characteristic of the dielectric and con-
sists of the valence- and conduction-band states. The characteristic of
the DCIGS changes across the band gap from predominately donor- to
acceptor-like closer to the valence band top and the conduction band
bottom, respectively. The energy at which their characteristic changes is
called their branch point, or most generally, CNL. The CNL plays a role
of the Fermi level.
The schematic diagram of the energy band structure lineup for the
case of a negative dipole formation in a high-k/SiO2 system is shown in
Figure 1.24. The continuum of the DCIGS determines the energy band
structure at high-k/SiO2 interface. As the CNL of the high-k is lower
than that of the SiO2 before dielectrics contact, there occurs electron
transfer from the SiO2 to high-k in order to decrease the energy dif-
ference of the CNLs between high-k and SiO2, or namely, balance the
Fermi levels of these two dielectrics. Consequently, there exist net posi-
tive charges on the SiO2 side, while equal negative charges on the high-k
side, which results in a voltage drift at high-k/SiO2 interface from the
SiO2 to high-k, i.e., a negative interface dipole is formed. On the other
hand, if the CNL of the high-k is higher than that of the SiO2 before
32   ◾    MOS Interface Physics, Process and Characterization

FIGURE 1.25 The schematic diagram of energy band structure for a positive
dipole formation in a high-k/SiO2 system.
dielectric contact, there occurs electron transfer from the high-k to the
SiO2, which implies a positive dipole formation at high-k/SiO2 interface
as depicted in Figure 1.25.
Furthermore, the strength of the electric dipole at high-k/SiO2 inter-
face is quantitatively studied from the viewpoint of energy band structure
lineup. As shown in Figure 1.24, the CNL of the high-k is lower than the
Fermi level due to the excessive electrons on the high-k side, while the CNL
of the SiO2 is higher than the Fermi level due to the insufficient electrons
on the SiO2 side, implying that there appear voltage drifts Δ1 and Δ3 on the
high-k and SiO2 sides, respectively. And the Δ1 and Δ3 can be expressed by

EF ,HK − φCNL,HK
∆1 = (1.13)
q
φCNL,SiO2 − EF ,SiO2
∆3 = (1.14)
q

EF, HK, EF ,SiO2 , ϕCNL, HK and φCNL,SiO2 express the Fermi levels and CNLs of
high-k and SiO2 with respect to their vacuum levels, respectively. In addi-
tion, there also appears a voltage drift Δ2 on the spatial gap between the
high-k and SiO2 dielectrics. The Δ2 can be obtained by

EF ,SiO2 − EF ,HK
∆2 = (1.15)
q
Another random document with
no related content on Scribd:
you can satisfy old Dab’s mind that all is right. I conclude that it is all right,
though I must say, Atty, it does, between you and me, look fishy, your going
so very often over to John Beacham’s house.”
“But I don’t go there so very often,” broke in Arthur eagerly; “it’s all a
pack of cursed lies. How could I go to the Paddocks every day, as the old
fool says I do, when I am twice a week, at least, at Fairleigh?”
“Really! How pleasant for Sophy!” said Horace drily. “The worst of all
this, though, is, that old Dub isn’t quite in his dotage yet, and may be
sufficiently up in local geography to be aware that, by judicious
management, it is possible to reach Fairleigh viâ Updown Paddocks.
Seriously now, Atty, can you in your sober senses think that the way you are
going on is either right or prudent? Here you are, within a few weeks of
marrying the girl you are engaged to—a nice girl, too, and you thought so
yourself before you got spooney (nay, hear me out, for it is true, and you
know it is) on John Beacham’s wife,—here you are, I say, making her (I
mean Sophy Duberly) miserable; and what is far worse—for girls soon get
over that kind of thing—you are sowing the seeds of lasting wretchedness
in another man’s house. You are—”
“I—I am doing nothing,” broke in Arthur pettishly; adding, with
brotherly familiarity, “What a fool you are!”
“Thanks for the compliment; but I must be a still greater fool than I am
not to foresee a little of the mischief that is brewing there.” And he pointed
over his shoulder in the direction of John Beacham’s home. “Why, even a
child could see it,—even Katie, who for a girl is wonderfully unknowing in
delicate matters of this kind and description—”
“But,” said Arthur, very seriously this time, and speaking in language
which would have carried conviction to his brother’s mind, even had the
latter (which was not the case) entertained the idea that there was anything
“really wrong” in Arthur’s intimacy with John Beacham’s family,—“but,
Horace, I declare to you solemnly, by all I hold most sacred—I won’t say
by my love for my mother, for I don’t love her, and it would be extremely
odd if I did—but I swear to you by my father’s memory that there is no
foundation, none whatever, for any of the spiteful things that people dare to
say of John Beacham’s wife. She’s not happy, poor little thing, certainly, but
—”
“Not happy? Why, what’s the matter with her? She’s got the best
husband in the country, and the nicest house to live in—I declare I don’t
know a more comfortable place than Pear-tree House—and the prettiest
horse to ride, and—”
“Yes, of course; all that is very nice; but then there’s the old woman.”
“John’s mother? So she is the crumpled rose-leaf, eh?”
“Well, yes, in some degree; but then John himself is partly to blame. You
see, he does not understand Honor.”
“That may be more his misfortune than his fault, poor fellow! But, Atty,
I am sorry to hear that you have come to confidences. I had an idea before
all this that Honor was a quiet, good, honourable girl; and I know that the
parson’s wife had the best possible opinion of her, when she was a girl, and
used to teach a class at milady’s school; but what you say now makes me
think her very far from either sensible or grateful—to say nothing of
rectitude. When I know what a real good fellow John Beacham is, it seems
such a shame of his wife to be complaining of him.”
Arthur laughed. He felt, in his superior wisdom, that his brother knew
wonderfully little of the qualities required by a woman in the man who
aspires to her love.
“Nonsense!” he said; “she doesn’t complain. One sees those things for
oneself, without hearing about them. I never saw a gentler or a more
forbearing creature than that dear little Irish girl, who is wretchedly out of
place at Updown Paddocks. She is utterly wasted upon John, who, as you
say, is the best fellow in the world, only so boorish compared to her, and so
thoroughly unintellectual! Thinks of nothing from morning till night, and
probably dreams of nothing then, but of his farm and breeding-stud. I
declare that it seems the work of some horrible fate, some malicious demon,
to have bound such a glorious woman as that to the side of a man so totally
unsuited to her—so completely incapable of appreciating the beauty, and
the delicacy, and the refinement—”
Horace stopped him with a laugh.
“The Lady Clara Vere de Vere and the clown, eh, over again? Well, I
suppose it may be because I happen to be one of the rougher-looking sort
myself—made of coarser clay, you know—that I cannot help having a sort
of fellow-feeling for poor John. I wonder now, if I were ever to marry—and
such an event is just possible, though I confess that it does not seem likely,
as things stand at present,—I wonder, I was going to say, whether in that
case any of you good-looking, languid swells—you fastidiously refined
fellows—would be found willing to believe me capable of appreciating the
charms of my own wife. Of course, it is not in the power of we ordinary
mortals to make ourselves as agreeable as men who are blessed with
straight noses, six feet of manhood, and wavy hair; but you might give us
credit for some sense of the beautiful; you really might allow that we can
see and feel and love the woman whom you admire, even though nature
may have cruelly denied us the gift of charming in our turn.”
Arthur looked at his brother in surprise. It was very seldom that Horace,
who was not of an impulsive nature, broke into so discursive a speech. He
had a way—at least, so it had hitherto appeared—of taking life and the
things of life so easily. Judging from the airy insouciance of his words and
manner, his own lack of personal attraction had never weighed upon his
spirits; the giving of advice, too, whether by implication or otherwise, to his
big, experienced elder brother, was so out of Horace’s line, that Arthur’s
surprise at this unexpected outbreak is scarcely to be wondered at. Any
relative response, however, whether in the shape of protest against, or of
acquiescence in, the general truth of his brother’s remark, appeared to him
to be simply impossible, and he therefore betook himself to the open field
of general observation.
“What a bore it is,” he said with a yawn that was not wholly the result of
weariness, “that every simple thing one does gets commented on and
gossiped about!”
“That comes of being an elder son. One of the penalties of greatness is
the bore, as you call it, of being the observed of all observers. It would be
long enough before the world paid me such a compliment. Seriously,
though,” he continued, glad, perhaps, of the opportunity thus afforded of
passing off as a jest the sarcasms which had in a moment of irritation
escaped his lips,—“seriously, though, Arthur, this strikes me as being that
unpleasant thing called a ‘crisis.’ If I know anything of old Dub, he won’t
let this matter rest till it’s thoroughly cleared up. He wouldn’t have written
to Lady M. if he hadn’t been in earnest; and now the question is, how the
deuce you are going to tackle the old fellow.”
“God knows; I’m quite sure that I don’t!” said Arthur helplessly, for he
foresaw endless difficulties—greater difficulties far than Horace could form
any idea of—in the process of “tackling” to which his brother alluded. “It’s
such a nuisance—such a horrible nuisance—to be questioned in this sort of
way!”
“Is it? I don’t think I should mind it; that is to say if I was all right—all
on the square, you know. The fact is, Atty,—and I can see it as plain as
possible, though of course it isn’t pleasant to you to believe it,—that old
Duberly has got two ideas about this business in his head; and these two
ideas are, in my opinion, two too many. In the first place he is suspicious, as
old fellows of that kind are so apt to be, about the horse-breeding part of the
affair. Now, if you could tell him on your honour that you have no horse in
training—that you have not the slightest intention, either directly or
indirectly, of going on the turf—why there would be nothing more to be
said on that score.”
Arthur rose from his chair and walked about the room impatiently.
“But suppose I can’t swear to that?” he said, speaking in the annoyed
tone of a man who had forced himself to utter a disagreeable truth. “The
fact is,” he went on confidentially, “I have bought—on tick of course—one
of John Beacham’s yearlings—the best he has bred since he began the
concern—by Oddfellow out of Gay Lady. You never saw such bone! John’s
quite certain—and you know how safe he is—that my colt—Rough
Diamond his name is—will be a Derby horse. I paid a long price for him—
I’m half afraid to say how much—but when one is so positively certain to
make such a pot of money as I shall, why what does it signify?”
The look—half comic and half pityingly sardonic—that settled for a
moment on the plain, but singularly expressive, face of Horace Vavasour
would have been a study for a picture.
“So!” he drawled out, “the old fellow is not so far wrong after all! No
wonder you were taken aback when he asked those leading questions!”
“Taken aback! I should think I just was! Why I should like to know what
you would have been!”
“Quite as much disgusted, I suspect, if not more than you were yourself;
but somehow or other, Atty—though I don’t set up for being a bit better
than other people—these are not, I fancy, exactly the kind of hobbles that I
should have been likely to get into.”
“What do you mean?” asked Arthur a little sulkily. “It strikes me that I
haven’t done anything at all out of the common way.”
“Not the least in the world,” rejoined Horace drily; “but that does not
disprove what I said. I don’t want to boast. The fact, if it were proved, is
nothing to be proud of; but I feel sure that I should not have made love to
one woman while I was engaged to another; and as certain am I of this—
that I should not have gone into partnership with an honest man like John,
in order that—”
“Horace!” cried Arthur in a towering passion, and taking his stand in
front of the chair in which his brother leant back, calm and impassible, “you
have no right—none whatever—especially after what I said just now, to
believe me capable—”
“It is partly from the very words you said just now that I draw my
conclusions,” interrupted Horace. “What old Duberly drew his from can
only of course be guessed at.”
“Guessed at! What utter rot! What confounded humbug!”
“Well, have it your own way. Give up that poor girl Sophy—for it is
giving her up if you don’t satisfy her father—be talked of all over the
county as—”
“I don’t care a d—n about that,” growled Arthur.
“So many fellows have said before they were tried. Throw away all
chance of that blessed home at Fairleigh, that the poor girls have built upon
so much; and all because you haven’t the courage, or rather because you are
too self-indulgent, to give up a little momentary amusement,—or rather, if
you like it better, though I confess to considering it a distinction without a
difference, because you happen to be a little—as I said before—spooney on
John Beacham’s wife.”
Arthur made a gesture indicative of disgust.
“Hear me out, please,” Horace went on to say. “What I want you to do is,
to think seriously of all these necessary consequences, and to ask yourself
whether le jeu vaut la chandelle. I, for my part—but then I have the good
fortune neither to be, nor to fancy myself, in love—have an idea that it does
not. In the first place, remember—not that we are any of us in much danger
of the fact escaping our memory—what a wretched home this is. Think
what a contrast to the dulness, the restraint, the everyday—well, I won’t go
on; we both know only too well how wretched one person can contrive to
make a house—but just think of the contrast to all this that Fairleigh is! Old
Duberly, with his cheerful, hearty ways—I declare Lady M.’s are enough to
give one a sickener of refinement; everyone allowed to please himself; no
one lying in wait for occasions on which to differ; annoying trifles, or trifles
that might have been annoying, delightfully slided over; and no ‘head-of-
the-house’ tyranny, causing one to long at every hour of the day for the
desperate remedy of a bloodless revolution—”
“That is all very true, but—”
“But what? I suppose you mean to remind me that you are not doomed
to bear with the wretchedness of Gillingham for ever. Of course you are
not; but in the mean time there are the involvements,—O Atty, I hate to talk
of, but you know that there they are. And then there is poor Sophy—so fond
of you, so trusting and affectionate. It would not break her heart, I know, to
hear of all this nonsense; but it would make her deuced miserable.” And the
younger brother, a little overcome by the picture he had conjured up,
stopped for a moment to recover himself. Very soon, however, he was at the
old arguments again. “She wouldn’t have a pleasant time of it, of course.
And as for Lady M., she would be less inclined than ever to give you
anything of an allowance. You have ascertained that there are
insurmountable impediments to raising money on the estates; and my
mother—may her shadow never be less!—is a hale woman of, if I mistake
not, forty-two. What do you say to your prospects? Inviting, eh? And just
fancy what a blow it would be to the girls. Why, ever since it was all settled,
and you wrote from Rome to tell us so, their spirits, poor things, have been
entirely kept up by the idea—by the hope, I mean—of a kind of occasional
home at Fairleigh. They are very fond of Sophy; and, in short, Atty, if you
could but make up your mind to give up—well, all your interests at
Updown Paddocks, all would go on quite smoothly again. You could
answer old Dub face to face without fear of consequences; and—and I don’t
think you would regret it, Atty,”—laying his hand affectionately on his
brother’s shoulder,—“I don’t indeed. I think it pays, don’t you, old fellow,
making other people—I mean those that one’s fond of—jolly?”
“Well, yes; I fancy it does,” Arthur said musingly; “and of course one
hates this kind of thing. It’s nonsense, too, to suppose that I want to make
any change—about little Sophy, I mean. Of course I wish to marry her, and
if it’s only to be done by giving up Rough Diamond, why, I’ve no
alternative. It is a bore though; upon my soul it is! He is so certain to win!
And then there’s all the nuisance of the talk with Mr. Duberly. I say, Horace,
do be a good fellow, and help me out of this. It would do quite as well—ay,
and better still—if you would settle the business for me.”
“How do you mean ‘settle it’?” Horace asked.
“Well, tell him you know that it’s all bosh; that there was no harm in life
—you’d go bail for that—in my sometimes paying a visit of an afternoon,
just to have a look at the stock, to Beacham at the Paddocks; and that—that,
in short, the sooner I’m married the better.”
“And how about the Rough Diamond?” asked Horace, who felt perhaps
the least in the world suspicious regarding the destination of that promising
animal.
“O, I suppose I must sell him; not much difficulty about that. He
wouldn’t be a shadow of use to me unless I entered him; which is, of
course, out of the question now. I will see John about it this afternoon.
There are lots of men who would give as much or more than I did for him.
So that’s settled; and you may say so, if you like, with my compliments to
old Dub.”
“I’ll do it, of course, if you wish it,” said Horace, after deliberating for a
few moments on his brother’s proposal; “but I can’t help thinking—don’t
fancy, though, that I want to get off—that this is the kind of thing a man had
better do himself.”
“Do you think so? Well, then, I don’t,” said Arthur, laughing: “and that
makes all the difference. I should be sure to make a mess of it, while you
are the coolest hand possible at that kind of thing. On the whole, it has just
occurred to me, after I’ve seen John about the nag, that it wouldn’t be half a
bad move to go to Pemberton’s for a week or so. He has been asking me to
pay them a visit for weeks past, and I should escape from the festivities, as
they call them, at the Guernseys’ next week. I hate that kind of thing
infernally; and engaged people in public are always in a ridiculous position.
Yes, I think I certainly will go for a week or so to Sir Richard’s.”
“Very good,” rejoined Horace; he was wise, as I before remarked, for his
years, and therefore forbore (albeit he had his own opinion on the subject)
any comment on his brother’s sudden resolution to leave the Chace during
Lady Guernsey’s “popularity week.” “Very good; but, Atty”—as his
brother, throwing open the French window, gave evident tokens of a desire
to cut short the interview,—“you are quite sure it’s all on the square about
the colt? Of course you mean it now,” he added hastily, as Arthur turned
round a red and angry face; “but everyone is liable to be tempted—I am
sure that I am—and seeing Rough Diamond again might—”
“Not a bit of it. Don’t be afraid. I know what I’m about; only it’s not fair
to John to leave him in the dark about it: so I’m off. No occasion to answer
Mr. Duberly’s letter, I suppose, till to-morrow?”
“Well, I should say there is. However, I’ll ask my mother. It was written
to her, though what old Dub was thinking of when he did that same is more
than I can guess.”
“Lady Mill was deucedly indignant at the liberty,” said Arthur, laughing.
“Few things have ever amused me more than my mother’s anxiety for this
marriage, and her intense disgust at being brought into contact with any of
the Duberly lot.”
“I wonder which will behave the worst at the wedding, old Dub or my
lady! In quite another way he has ten times her pride, but then he is far
more deficient in polish.”
They both laughed lightly at the ideas which this remark called up; and
after a few more last words, each brother departed on his own separate
errand.
As Arthur Vavasour had predicted and felt assured, it required few
arguments, and a very little exertion of diplomatic talent, to convince “little
Sophy’s” good-natured parent that there was nothing really wrong either in
the character or conduct of the “handsome young fellow” who had won his
daughter’s heart. A short conversation with that “steady, sensible one of the
brothers” (the thoughtful Horace), a little coaxing and petting on the part of
his “darling girl,” and a positive assurance—it was “a case of honour, mind,
Mr. Duberly”—more than once repeated—from Arthur, that he had sold the
two-year-old (that wonderful Rough Diamond, of whom such great things
were expected), to Colonel Norcott, of sporting celebrity, for an almost
fabulous sum—were sufficient to set the unsuspicious, sanguine mind of
“old Dub” at rest. Arthur Vavasour was received again with open arms at
Fairleigh; the fatted calf, so to speak, was killed; and Sophy—caressing,
tender Sophy—put on her best robe to do honour to the exculpated prodigal.
CHAPTER XV.

A STORM AT THE PADDOCKS.


Arthur VAVASOUR, in all that he had said to his brother regarding the
state of things at Updown Paddocks, had not willingly diverged a hair’s-
breadth from the truth. It had caused him more vexation than surprise to
learn that other voices besides the “still small” whisper of his own
conscience were beginning to enlarge upon a course of conduct, the
imprudence of which—to use no harsher term—had long been manifest to
himself. Young as he was in years, Arthur had not, after a jeunesse
orageuse, still to learn how soon and easily the fair fame of a woman is
breathed upon and tarnished. In more ways than one is the breath of man
poisonous to his fellows. Well did Arthur Vavasour know that while he—
the heir-apparent to wealth and honour—he, the strong man, armed at all
points for the battle of life—would come unscathed out of the tainted
atmosphere of suspicion, she, the tender bird exposed to its baneful
influence, would flutter her feeble wings, and fall killed morally by the
strong insidious poison. Of this melancholy truth Sophy Duberly’s affianced
husband was as cognisant as the oldest sage that lives; and yet so selfish
was he and so graceless—you perceive that there is nothing singular and
abnormal in this young man’s character and conduct—that he could not
bring himself to forego a pleasure, many of the infallible evils to result
therefrom, he, in his rare moments of reflection, so plainly foresaw.
His first visit to the Paddocks was the consequence (and this young
sinner sometimes twisted the fact into a strange kind of condonation) of a
pressing invitation from honest John himself. Partly from former respect
and affection for the deceased Squire, and in some degree from a liking
which he took to the open cheerful manners of the heir-apparent, John
Beacham seized the earliest opportunity of making that young gentleman
“free,” as it were, of the house in which his father had been so frequent and
honoured a guest.
Nor was John’s hospitable parent behindhand in her well-meant
endeavours to make Lady Millicent’s first-born understand that he was a
welcome guest at Pear-tree House. He was always “pleasant-spoken,” she
used to say, “without an ounce of milady’s pride about him.” “Young Mr.
Arthur” besides (and that was another important point in his favour) was
very far from making himself “common” in the houses, whether large or
small, of his lady mother’s tenants. I am afraid, after all, that this old lady
was—after the fashion of her class in her day—something of a lord lover.
The taste has somewhat left that class of late years, rampant as it still is on
the higher rungs of the social ladder; and in Mrs. Beacham it was only
preserved, and that feebly, by some of the traditions and associations of the
past. She entertained an idea too that the son in whom all her hopes and
pride were centred was better looked on, by reason of his acquaintance
(professionally) with the titled ones of the land. It may be doubted, indeed,
whether this simple-minded body did not, in some vague and unreflecting
way, consider John’s friendship, or rather familiarity, with a rich earl of
sporting proclivities, and the fact of his being, so to speak, “hand and
glove” with the heir of Gillingham, decided proofs, had any been wanting,
of her son’s general superiority to his fellow-men.
The fever of expectation and delight into which the usually sedate old
woman was thrown on the first occasion when John informed her that “Mr.
Arthur” was coming to see the “stock” and “take” his luncheon at the
Paddocks, afforded some amusement and not a little surprise to Honor. For
herself she hardly knew whether to be glad or sorry that her acquaintance
with Mr. Vavasour was likely to be improved. That his coming was not, by
any means, a matter of indifference to her cannot be denied. It could hardly
be that the railway journey passed in his company, short and uneventful
though it was, had not left some trace of it behind. Beautiful daughter of
Eve though she was, never had eyes of man rested on her face as those of
Arthur Vavasour had done that day; but although her vanity had been to a
certain degree gratified by a scrutiny which she had felt rather than seen, yet
she had, whilst undergoing it, experienced a sensation of malaise—a
nameless fear almost—which caused her rather to shrink from a first
meeting with Arthur Vavasour. As regarded John, he took the event, for
which his mother was making such grand preparations, quite as a matter of
course. Beyond the fact that Mr. Arthur was the Squire’s son, and one to
whom the farmer gave credit for possessing hereditary virtues, the
handsome young man, who, as all the country knew, was engaged to the
heiress of Fairleigh, was no more to him than any other visitor at the
Paddocks. Not that honest John was the very least in the world what is
called “a leveller.” To “even” himself with those socially above him never
entered his head. The ambition which of all others is the most apt to
“o’erleap itself and fall o’ the other side”—the ambition, namely, of a churl
to be a gentleman—was an infirmity quite unknown to the simple mind of
the Sandyshire farmer. He was absorbed, besides, rationally and
wholesomely, in his business, and that business, as John was quite
conscious, he thoroughly understood. A sense of superiority (that sense, let
it be remembered, being indorsed by the fiat of public opinion) is apt to
induce (even though that superiority may be evidenced in a comparatively
humble manner) a certain sense also of independence. This sense, then, was
a strong and healthy resident in John Beacham’s breast. He knew—none
better—that his knowledge of the business in which his soul delighted was
anything but superficial, and it was to him a source of pride that his opinion
in equine matters had grown to be treated as a law. I repeat that John
Beacham was no “leveller”. He was quite as ready as his neighbours to
“give tribute to whom tribute, and honour to whom honour,” is due; but it
was pretty much the same to him, provided that the individual in question
knew something about horse-flesh, whether guest of his were prince or
peasant, duke or dog-breeder. His thoughts ran entirely on his stock, and his
mind was so fully engrossed by the future of his yearlings that he felt
literally none of that common sensation of “not-at-homeishness” which is
apt to render individuals in John’s somewhat anomalous position both
awkward and uncomfortable.
Few men in any rank of life could be pleasanter as a host than the owner
of Updown Paddocks. At his hospitable board, the rich and “great,” and
even the self-important, “forgot to remember” that they were
condescending. A native politeness induced by entire forgetfulness of self
placed him on a par with the most exalted, the most fastidious, and the most
sensitive. But, above all things, let it be remembered that he was true—true
to the backbone. The air of the “stable,” as I have before said, had instilled
no principles of trickery into John Beacham’s breast, and, as Cecil Vavasour
had once been heard to remark, he would as soon expect one of John’s
fillies to be capable of entering into a conspiracy to defraud, as that his old
friend would in a single instance depart from the strict rules of honour and
integrity.
“Now then, Honor, look sharp; I can’t have any dawdling to-day. When
gentlemen come to lunch at the Paddocks, they expect, and so does John, to
find everything good. You won’t soil your white hands, that I don’t think
likely, with helping in the setting on; but you might gather a few flowers for
the beanpots all the same, and if there’s time afterwards you can change
your gown afore Mr. Arthur comes. A silk one would look a deal better than
that washy muslin. I’m sure John, poor fellow, gave you plenty of smart
dresses, and you needn’t begrudge the wearing one of them now and then.”
Honor, who had already learned that there is ofttimes wisdom in keeping
silence even from good words, proceeded with cheerful alacrity to the
execution of one at least of her appointed tasks. The tasteless arrangement
of those same “beanpots” had long been to her a source of minor
discomfort, and often had she longed to work, with deft and dainty fingers,
a reformation in the huge overgrown posies with which it was Mrs.
Beacham’s pleasure to adorn the windows of the “best parlour” in the old
farm-house. A very snug and pleasant room it was, and would have been a
pretty one, could Honor have effected the change she was often planning,
namely, that of introducing French windows instead of the old-fashioned
lattices, which let in so little light, and impeded the view outside so greatly.
And, as if to make the room still darker, there were, ever and always, those
dreadful beanpots standing never an inch out of their respective places on
the spider-legged pembroke tables in front of the latticed panes. It was
wonderful, Honor sometimes thought, how flowers could be made to look
so little attractive as those which old Mrs. Beacham was in the habit of
packing together for the adornment of her show parlour. The old lady’s
floral tastes were of the massive and gorgeous school. She delighted in
peonies, and many-coloured dahlias were her passion. Honor had more than
once attempted a reform in this delicate branch of household duty; but Mrs.
Beacham, who had no opinion of her daughter-in-law’s taste, had hitherto
declined her offers, and nothing short of a press of business on the occasion
of Mr. Vavasour’s visit would have caused the busy old autocrat to break
through a fixed habit of her life.
Honor wondered to herself, as, with her large garden-hat shading her
eyes from the sun, and a flower-basket on her arm, she bent over a favourite
plant rich with pinks in brilliant blossom, dropping at the same time one of
the treasures into her basket, whether Mr. Vavasour had the least idea what
a commotion his coming to the house for half an hour was causing. She
caught herself marvelling too whether he liked the smell of roast beef and
cabbage: for the house had been redolent of both when Honor gladly
exchanged the scene of bustle and confusion, and the aroma of a meal more
plentiful than refined, for the fresh air of heaven and the perfumes of the
roses and the pinks. She did not hurry over her task. There was time enough
before the arrival of their guest for a little more dallying with the flowers, a
few more quiet thoughts over how she would look, and what he—that half-
dreaded new acquaintance—would say to her. Honor had not the slightest
intention of complying with the last of her mother-in-law’s injunctions; the
“washy” dress—it was of soft blue muslin, and the girl looked like a bright
azure flower in it, as she flitted about between the rows of fruit-bushes,
culling the dear old “common” flowers that are still to be found in such
ancient kitchen-gardens as the one that appertained to Pear-tree House—the
“washy” dress that had provoked Mrs. Beacham’s animadversion was not,
Honor determined, to be cast aside. Since the affair of the bonnet, she had
resisted all attempts at interference with her toilet. The day too, as the sun
rose higher and higher in the heavens, had grown oppressively hot, so hot
that her fair face was a little flushed, and she loosened the strings of her hat
that the light summer breeze might blow more freely round her throat. The
coolest spot in all the garden was the terrace-walk, a little raised above the
level of a shady lane, into which those above could look over the trimmed
sprays of what John—who loved the place, and smoked his quiet pipe there
often in the summer evenings—was wont to call the “nightingale hedge.”
With Honor too the terrace was a favourite resort: she would take her book
there, or her work, and sit dreamily on the rough stone bench for hours, till
summoned home by the shrill voice of her mother-in-law, who, being
essentially a woman of action, had no patience with the “idle ways of
John’s silly chit of a wife.” On that especial day, however, Honor had no
time to waste in reverie. She would, she thought only rest for a moment
under the shade of the old thorn-tree; the sun shone so glaringly down upon
the teeming apple-trees, on the clean-kept rows of strawberry-beds sloping
downwards to the gravelled walks, yellow and glowing in the midday heat.
Honor could not, however, long remain, pleasant as it was, in that cool
breezy place. Only a moment to pluck a sprig of sweet syringa from a shrub
of ancient date, growing near the hawthorn-tree; only a moment to hear—
Well! What did she hear? Why, the slow footsteps of a horse, advancing
with even pace along the lane below! Instinctively she rose from her seat,
and peering over the hedge, she recognised in the equestrian, who politely
raised his hat from his head (for a simultaneous movement had caused him
to look towards the terrace), the figure of Arthur Vavasour.
It was too late to retreat, her blushing face was just above him, and she
could only hope that he would not think her very missyish and forward.
That road—the one that he had chosen—was not the usual one from
Gillingham to the Paddocks, and this, Honor, feeling and seeming a good
deal confused and awkward, endeavoured to make him understand. She had
forgotten, or rather she had never heard, the proverb, that qui s’excuse,
s’accuse; but Arthur both remembered and applied it. It is always a
temptation to jump at conclusions that are flattering to our vanity, and the
“jump” on this occasion was far too alluring to be withstood. Arthur had in
good truth very little grounds for supposing that Honor had betaken herself
to that quiet spot for the purpose of awaiting his arrival. He was profoundly
ignorant, beyond the simple fact that she was beautiful, of all that
appertained to or regarded John Beacham’s wife. Unfortunately too he had
been a good deal thrown among a class of women, who would have taken
no great shame to themselves had they been caught in the deed for which he
gave that pretty, unsuspecting Honor credit. Arthur had met with a good
deal of petting and spoiling from the sex in general. He was handsome, and
he knew it. Honor was looking tantalisingly lovely and attractive as she
stammered forth her silly, smiling excuses; so—it was foolish certainly, but
he was not yet “of age,” remember, and it would have been so “muffish” to
ride on as if she were not there—so Arthur Vavasour, following the impulse
of the moment, and meaning, as he would have said, no more than to be
“civil,” contrived (without awkwardness, which would have been fatal in
such a case) to spring with his feet upon the saddle, and to bring his face on
a level with Honor’s.
She could not help laughing; it was “such a foolish thing to do;” and
then there came, after he had shaken hands with her over the hedge, the fear
that the horse would move on, and that there would be an “accident.”
“He might move on—O, please don’t wait!” she said, feeling a little
smitten with what struck her as an act of chivalry on the part of that good-
looking young aristocrat.
“He won’t stir—he knows better,” Arthur said, as he steadied himself
against a strong ash sapling that jutted out from the bank. “Steady, will
you!” to the animal, who was picking out the tender blades of grass for his
own especial eating from among the ground-ivy, the delicate cranesbill, and
the wild violets with which the pretty rural fence was lined. “He knows this
road, and so do I, of old. Jack was my father’s cob, Mrs. Beacham—one of
your father-in-law’s breeding, and he used always to come this way to the
Paddocks.”
It was a pretty way—the prettiest, Honor thought and said—from the
Castle; not that she had ever been the whole road—far from it, she said. It
was a beautiful way, people told her, all through, but she had never been
nearer to the Castle in her life than the end of Pender’s-lane. John did
promise to take her farther when he had the time, and she was going to
learn to ride, and horses were allowed in many places inside the Chace
where a carriage wasn’t, so John said, and if so, why she might some day
see, without giving trouble, she added meekly, a little of the beautiful place
of which she had heard so much.
Arthur professed himself delighted to think that he could afford the wife
of his old friend pleasure in any way; mentally regretting that, owing to his
insecure footing on old Jack’s saddle, he could not be quite as delightful as
he wished, or as the occasion deserved.
“It will be awfully jolly to have you on horseback,” he said, “and
Beacham will mount you in something like style.”
“O yes,” Honor said eagerly, “there is a chestnut—such a beauty! John
calls her Lady Meg—that he is breaking for me; not a pony—quite a tall
horse; and—O Mr. Vavasour, I told you so! Have your hurt yourself?”
She was answered by a laugh from below, and by the cheerily-spoken
words, “All right!” as Arthur, who had suddenly, and nolens volens, found
himself reseated in his saddle, rode away.
Once more left to the companionship of her own thoughts, Honor began
to think how foolish it had all been; and then came the speculation as to
how Mrs. Beacham would take the news (for it seemed a very important
event to simple-minded Honor) of Mr. Vavasour’s escapade. If Honor had
not been afraid of her stepmother (which she was), it would all have been
plain-sailing enough. It had been a purely accidental meeting—no harm had
been intended—and certainly Honor could not be called to account for the
foolish risking of Mr. Vavasour’s bones. All this, and more, the perplexed
and tired girl repeated to herself as she walked slowly on towards the house,
thinking how best to tell the little story which was already assuming in her
eyes the features of an “event.”
To her surprise—for she had fancied he would be waylaid by John, and
carried off at once to see the “stock”—she perceived, through one of the
parlour-windows, Mr. Vavasour sitting on the ponderous sofa covered with
peony-patterned chintz, and in amiable converse with his hostess, who was
doing her best, in more ways than one, to entertain him. In a few more
minutes Honor was in the room, and—mirabile dictu!—shaking hands with
Arthur Vavasour. It was very evident that for some reason or other—what,
Honor would have found it difficult to determine—he had kept the fact of
that very innocent meeting on the terrace-walk a secret. Honor hardly knew
whether to be relieved or sorry that he had done so. That she could do
otherwise than follow his lead, never for a moment, strange as it may seem,
occurred to her. The nature of this young wife was rather an ease-loving
one, and to be spared the listening to Mrs. Beacham’s diatribes was felt by
her to be a great boon; so she, unwisely it must be owned, held her peace,
keeping Arthur’s secret (alas, that there should have been one, of even the
most insignificant description, between those two!) alike from the
cantankerous old lady, and the husband who had as yet given her no cause
to fear that he would ever be severe either on her follies or her faults.
CHAPTER XVI.

THE ELEMENTS WERE IN FAULT.


From the time of that chance meeting, Arthur Vavasour became a very
frequent visitor at the Paddocks. Ostensibly there was generally some
business excuses for the “calls” that were made so often, and lasted so long.
There was so frequently an ailing or an unsound horse, concerning which an
opinion was required; and then, as we already know, the Paddocks lay so
conveniently on the road to Fairleigh, that it was hardly surprising that poor
Sophy’s somewhat fickle lover should stop to rest him on the way.
There is no denying the truth that young Mrs. Beacham did greatly enjoy
Mr. Vavasour’s society. They had so many (the old reason!) tastes in
common. He had read the books she liked, and he delighted in less
commonplace and more classic music than “The soldier’s tear,” and that
old, old “Banks of Allan Waters,” which Honor was so tired of. His voice
too, when he spoke, was so soft and low—an “excellent thing” in man as
well as woman—and that same voice sounded doubly pleasant after a
morning spent in listening to Mrs. Beacham’s querulous tones and harsh
Yorkshire dialect.
It was surprising to herself how soon Honor felt at her ease with Arthur
Vavasour, and how short a time had been necessary to make her forget that
he was the son of that formidable Lady Millicent; while she—but what had
been her origin Honor believed herself never destined to learn—it was
enough that she had been but a humble teacher to some farm-house
children, and that John, that best and kindest of created beings, had taken
her, penniless and almost friendless as she was, to his home and to his heart.
There is something not altogether unsuggestive in the fact that John
Beacham’s bride was, at that period of her short married life, for ever
reminding herself that she “owed everything to John.” It almost seemed as
though she were throwing up a line of defence, a formidable battery, to
guard against any future attacks upon his peace. He was so really kind to
her, not tenderly demonstrative certainly, and anything but sentimental; but
she could trust him so entirely. John was never capricious, and rarely hasty
or rough of speech; he never “bothered” either about trifles—a delightful
negative quality which many wives never appreciate properly till they have
experienced the bore of having a womanly, housekeeping kind of helpmate
“worrying” about a home, the space and means of which are necessarily
limited. That John Beacham was all, and more than all, this, Honor was
constantly repeating to herself. Perhaps—it was more than likely—she was
anxious to hide, under this heap of estimable qualities, the aggravation of
some of poor John’s trifling defects of manner, his few uncourtly habits, his
sometimes ill-pronounced words. Be this as it may, Honor betrayed no sign,
even to herself, that she would have desired any change in one so excellent
and unselfish as her husband; it is even probable that, had not the peccant
places been pointed by force of contrast, she would have found little to
regret in John’s cheery voice and genial, though untutored, manners.
One great pleasure—the pleasure of which Honor had spoken with such
girlish glee to Arthur Vavasour—that, namely, of riding on horseback—had
been without loss of time vouchsafed to the breeder’s wife. She had a
“wonderful figure for a horse” he had said from the first, and when to that
was added the conviction that, though she had not been in the “saddle from
a child,” his wife’s seat and hand were perfect, John’s delight was extreme.
The “teaching” proved a comparatively easy matter; Lady Meg was quiet as
a lamb; and very soon (for John was often too busy to accompany her)
Honor was trusted on horseback, with only a small farm-boy as attendant,
to take her equestrian pleasure where she chose.
The only individual to whom this new state of affairs gave any umbrage
was old Mrs. Beacham, who, when John did not happen to be present, grew
very bitter on the subject of Honor’s favourite pleasure.
“It’s more than I ever had—and I a Yorkshire-woman born—is a horse
of my own,” she said one day to Honor, as the latter stood waiting for Lady
Meg, and looking very pretty and graceful at the window, her long green
habit trailing on the floor, and her gauntleted hand (John had got her up
beautifully) playing with her little dandified whip. “I wonder John can
allow of such a thing as your riding about the country in this way. Things
have got turned upside down with a vengeance since I was young.”
“John likes it,” Honor said, turning round with a smile that disclosed two
rows of pearly teeth, and which ought to have mollified the sour old lady’s
temper. “I never should have thought of riding if it hadn’t been for John,
and now I do love it so! I don’t think I ever liked anything half so much.”
“You’d like anything that kep you idle, that’s my belief. You’d leave
everything for other people to do, you would. Anybody else may slave
themselves to death, so as you keep your hands white and don’t bend your
back to work.”
“Now, that is hard,” replied Honor, trying to laugh off the old woman’s
irritation. “I won’t bear any more of John’s sins! Why, don’t you remember,
mother”—she called her so, to please John—“don’t you remember how he
came home one day and found me rubbing the table, and how angry he was,
and how he said that neither you nor I were ever to do such things again, for
that, thank God, he was rich enough to pay for servants to do the
housework? Dear John! he always tries to please everybody.”
“More fool he! Everybody indeed! That’s the sort of thing that brings
people to the workhouse. I was brought up different. I never could see, not
I, the good of young people being idle. Work keeps ’em out of mischief,
and hinders white hands, which ain’t of no use as far as I can see, except to
make the gentlemen stare at ’em.”
It was perhaps fortunate for Honor that the old lady could not see the
crimson blush that mantled over cheek and brow at this coarse and
uncalled-for remark. Had that been the case, Mrs. Beacham would have
suspected—what was indeed the truth—that her daughter-in-law was quite
conscious of, and felt indeed rather gratified by, the fact that one gentleman
at least had both looked at and admired the taper fingers, white and soft as
those of the finest lady in the land, to which Mrs. Beacham alluded.
At that moment, and while Honor’s face was still turned towards the
window, a few heavy drops were seen to fall against the panes, and the
prolonged roll of distant thunder gave tokens of a coming tempest.
“O, there’s the rain! How dreadfully provoking! Just when I was going
out! What shall I do?”
“What will you do? Why, bear it to be sure, and be thankful you’ve
nothing worse to bear. I’m going across the meadow to see James Stokes’
whitlow. It will be long enough before such a helpless thing as you has the
stomach for such sights;” and so, grumbling as she went, the busy old soul
departed—to do her justice, she was always ready to help—on her errand of
mercy.
Honor sat down before the work-table, which was strewed all over with
the marks of woman’s industry and handicraft—men’s lambswool stockings
in readiness for mending, a corner of hideous patchwork protruding from an
open basket, and a general aspect around of rather unpicturesque disorder. It
was part of Honor’s daily employment to “tidy the tables” after one of Mrs.
Beacham’s mending mornings was brought to a close, and, but for the
rattling thunder overhead, she would have proceeded to her task at once.
The noise of the storm, however, together with the solitude of the room,
overcame and oppressed her—the vivid flashes of lightning, darting across
her face, dazzled her eyes; so resting her face upon her outspread arms, she
endeavoured, as best she could, to shut out the startling tokens of the
tempest. But all in vain. Honor, though not (as it is called in common
parlance) afraid of thunder and lightning, had it in her to be morbidly
sensitive to an atmosphere heavily laden, as was the case at present, with
electric fluid. Her head, which had begun to ache violently, seemed as if
bound with a circlet of iron, and she felt miserably depressed and nervous—
so nervous, that for almost the first time in her life she experienced a dread
of being alone. It was intensely foolish and cowardly and absurd—of that
Honor would have been the first, in her sober senses, to acknowledge the
truth; but she was hardly herself just then, the thunder boomed with such
startling violence over the old house, and the wind, which had commenced
with a warning murmur, was howling amidst the trees, as it seemed, in very
rage and fury. Truly it was an awful storm. Each thunderclap sounded
louder and more vengeful than the last, till gathering, as it would appear, its
forces for a final outburst, such a volley rattled over Honor’s bent-down
head, that in a perfect agony of terror she sprang upon her feet, and was
rushing from the room when her steps were arrested by the sight of a human
figure advancing rapidly from the open doorway.
A real cordial, even in that moment of bewilderment and fear, the
cheerful voice of Arthur Vavasour seemed to Honor when he said lightly—
“What, all alone in the storm? No joke, is it? By Jove, I don’t know that
I was ever out in a worse.”
She tried to recover herself; it was mortifying, hateful, to be thought
such a silly coward; but her nerves were overwrought (meteorological
influences have a peculiar effect sometimes on certain delicately-organised
constitutions); and when another thunderclap, still fiercer than the
preceding one, crashed over the old-tiled roof, and the room was all ablaze
with dazzling light, Honor, pale and trembling, and utterly bereft, for the
moment, of self-command, uttered a faint cry of terror, and hid her white

You might also like