MEMS Resonator Filters
MEMS Resonator Filters
MEMS Resonator Filters
-
-
The Institution of
Engineering and Technology
Edited by
Rajendra M Patrikar
IET MATERIALS, CIRCUITS AND DEVICES SERIES 65
This publication is copyright under the Berne Convention and the Universal Copyright
Convention. All rights reserved. Apart from any fair dealing for the purposes of research
or private study, or criticism or review, as permitted under the Copyright, Designs and
Patents Act 1988, this publication may be reproduced, stored or transmitted, in any
form or by any means, only with the prior permission in writing of the publishers, or in
the case of reprographic reproduction in accordance with the terms of licences issued
by the Copyright Licensing Agency. Enquiries concerning reproduction outside those
terms should be sent to the publisher at the undermentioned address:
While the authors and publisher believe that the information and guidance given in this
work are correct, all parties must rely upon their own skill and judgement when making
use of them. Neither the authors nor publisher assumes any liability to anyone for any
loss or damage caused by any error or omission in the work, whether such an error or
omission is the result of negligence or any other cause. Any and all such liability is
disclaimed.
The moral rights of the authors to be identified as authors of this work have been
asserted by them in accordance with the Copyright, Designs and Patents Act 1988.
1 Introduction 1
Rajendra M. Patrikar
1.1 Low power issues 4
1.2 Miniaturization 6
1.3 Tunable filters 8
1.4 Integration with CMOS 9
1.5 Inside the book 10
References 11
2 Filter design 13
Rajesh Junghare, Raghvendra Deshmukh and Rajendra Patrikar
2.1 Brief history of filters 13
2.1.1 Active filters 17
2.1.2 Electromechanical components 17
2.2 MEMS resonator filter design 20
2.2.1 RF MEMS filter 21
2.2.2 Advancement (evolution) of MEMS resonator 22
2.3 Theory of resonator 25
2.3.1 Mass damper model and electrical equivalent model 26
2.3.2 Actuation 27
2.3.3 Detection 29
2.4 Case study: disk resonator 30
2.4.1 Design and operation 31
2.4.2 In-plane bulk mode resonance and modal shape estimation 32
2.4.3 Electromechanical model of disk resonator 35
2.4.4 Electrical model 38
2.4.5 FEM simulation of disk resonator 39
2.4.6 FEM simulation of disk resonator with proposed
fabrication flow 42
2.5 Coupled resonator 49
2.5.1 Coupling beam design 50
2.5.2 Case study: disk resonator-based filter 51
2.5.3 Case study: ring resonator-based filter 55
2.6 Summary 60
References 61
viii MEMS resonator filters
Index 413
This page intentionally left blank
Chapter 1
Introduction
Rajendra M. Patrikar1
1
Centre for VLSI and Nanotechnology, Visvesvaraya National Institute of Technology, Nagpur, India
2 MEMS resonator filters
Apart from mobile phones, most of the modern electronic systems are also
increasingly becoming mobile and portable. These features of the modern systems
require that they should have a small size and more important that they should con-
sume low power. These features drive most of the specifications in today’s scenario.
Mobile communication is already an integral part of our lives, and its role is growing
continuously. While early mobile phone functions were limited and concentrated
around making landlines mobile, the capabilities of these phones initially improved to
have the address book and text messaging of 80 characters. However, today’s pre-
dominant smartphones and tablets support a large number of functions via third-party
application software, bringing an insatiable hunger for data and higher download
rates. In all these developments now, the telephone is just one of the applications. In
the modern era not only human beings are using these communication aides but
machines are also using the Internet and various communication methods, making the
Internet of things (IoT) paradigm very popular where machines also need wireless
interfaces. According to the market forecast, there will be several billion devices and
equipment connecting through the low power wide area network (LPWAN), which
depends on the standard of narrowband Internet of things (NBIoT) [4,5]. There is an
increased demand for the interaction between physical objects and the real world.
MEMS-based subsystems with versatile functionality are now widely adopted in
most IoT and wireless sensor network (WSN) applications. IoT was coined in 1999 to
describe the communication between versatile sensor nodes using modern wireless
technology, later facilitating smart living for humans. Toward the goal of smart liv-
ing, machine-to-machine communication (M2M) technology attracts significant
attention for developing emerging IoT systems in different domains, including con-
sumer electronics, automotive safety, transportation systems, energy grids, and
healthcare facilities [4,5]. This machine-to-machine communication for IoT requires
versatile filters with a varied range of center frequencies and bandwidths.
Until recently the stringent challenges of filter design were met with acoustic
technologies. The kind of acoustic resonators we study herein are microelec-
tromechanical devices. They experience acoustic wave propagation and eventually
vibrate at a resonance frequency related to their dimensions and mechanical con-
figuration when actuated. Resonance is a dynamic behavior that is observed when
certain systems are excited properly. In general, these systems exhibit an amplified
response to their input when the frequency of the excitation is equal to the resonant
frequency of the system, with a more efficient transfer of the energy from the exci-
tation source to the structure. The damping of the excitation energy is an important
issue when considering the dynamic behavior of a system, whether the resonant
response is desired or should be avoided. In the case of large scale mechanical
structures, it is generally desired to avoid resonance as it often causes accelerated
fatigue and eventually failure of the structure. However, they are useful when the
amplitude of vibration is small and does not easily lead to a breakdown. Generally,
any material is capable of supporting acoustic wave propagation including silicon.
The piezoelectric properties of certain materials facilitate the wave propagation, thus
improving the electromechanical energy conversion, so piezoelectrics are usually
chosen as the acoustic layer of many acoustic-wave resonators [6]. They experience
4 MEMS resonator filters
considerations. Thus, low-power design is of utmost importance. The power for signal
processing and transmission has to be near-zero. In general [4], in conventional radio
receivers, the power consumption can be reduced only at the expense of reduced
sensitivity. The strategy of low-power design consists of decomposing the task to be
solved in an intelligent fashion such that the rate of information processing is reduced
as far as is possible without compromising the performance of the system. Intelligent
decomposition of the task involves good architectural system decomposition, a good
choice of topological circuits needed to implement various functions in the archi-
tecture, and a good choice of technological devices for implementing the circuits.
MEMS resonators are good candidates for low-power designs because of their
excellent quality factors. For example, wakeup receivers, which are often deployed to
save power in the signal processing of unattended sensors can be built with MEMS
resonators. Even if scheduling algorithms can be used to augment the efficiency of
existing receivers, it can be shown that the lifetime of the sensor battery could be
extended by several years by having an always-on RF receiver that practically con-
sumes near-zero power (at levels comparable to the leakage rate of a battery). The
main challenge associated with the use of ultra-low-power (in the order of 10 nW) in
RF receivers is the limited sensitivity. It is also clear that radio architectures that rely
on passive amplification and asynchronous demodulation of RF signals are the best
candidates for achieving ultra-low power consumption [8,9].
In these systems front-end systems, “near-zero” power is achievable by per-
forming signal processing functions in passive MEMS elements. MEMS devices
that resonate at the frequencies of interest implement filtering, voltage step-up, and
demodulation functions by leveraging their equation of motion and high-quality
factor (Q). CMOS circuits then implement only the back-end receiver stages,
resulting in much lower power consumption than in a system that realizes front-end
and processing functions in CMOS. In addition to this advantage in power, MEMS
devices can provide superior out-of-band rejection of signals far from the resonant
frequency, a critical feature for receivers used in high-interference environments.
Because low-power circuits tend to have high input impedance, minimizing para-
sitic capacitance at the circuit input node is essential to this design. CMOS-MEMS
technology enables monolithic integration of various MEMS devices with CMOS
circuits, by patterning the MEMS within the CMOS chip interconnects. The
interconnect length and capacitance between MEMS and CMOS is therefore
minimized, making this technology ideal for the MEMS demodulator [9].
Most of the above discussion is about long-distance communication where
relatively high frequencies are used. However, interestingly such filter banks are
also required for signal processing at low frequencies. An example of the ultra-low-
power programmable analog bionic ear processor showed that such filter banks are
necessary for acoustical signal processing. In this system, a microphone converts
sound to electrical signals; and the signals are transmitted via a cable to a speech
processor, the signals are processed by the speech processor to extract the logarithm
of the spectral energy in 8–22 filter bands, and these energy coefficients are
compressed to reduce the electrical dynamic range of stimulation. It is a necessity
that filters would be extremely consuming low power and have a small size [10].
6 MEMS resonator filters
The feature “small size” is the major feature of all the forms of electronic systems
today. The small size offers several advantages in these systems and efforts to make
them as small as possible usually referred to as miniaturization.
1.2 Miniaturization
In general, all electrical/electronic systems have changed and are continuously
changing because of the miniaturization of transistors. However, all other compo-
nents are also miniaturized because the reduction of the size usually offers
advantages. The major electronic system used worldwide is a cellular phone, which
is using these technologies and becoming versatile with every generation. The trend
is seen in almost every electronic component. Filter circuits, which are essential
components in these systems also continuously becoming smaller and smaller and
need to handle various frequency bands in modern technology. Thus, miniaturiza-
tion had a tremendous impact on electronic system engineering. It is argued by Ray
Kurzweil that imperative in any technology, which is as he put it as a natural
process for any system development [11]. He has stated the Law of Accelerating
Returns Evolution (sophistication) of life-forms or technology speeds up because
they are built on their own recorded degree of order. Kurzweil calls this the Law of
Accelerating Returns. This Law of Accelerating Returns gave us ever-greater order
in technology, which led to computation—the essence of order. For life-forms,
DNA provides the record. In the case of technology, it is the ever-improving
methods to record information. Moore’s law [12] (based on a temporary metho-
dology, i.e., lithography) is only an example of the Law of Accelerating Returns.
Beyond lithography, we may expect further progress in miniaturization based on
DNA, quantum devices, AFM lithography, nanotubes, etc. All these areas are being
explored and we surely will see some of these technologies will come in the market
soon. One such area is quantum computing, which is expected to give acceleration
to computation. In general, miniaturization offers the following advantages.
● Minimizing the energy and materials used in manufacturing:
This is a very obvious advantage. In general, smaller devices will require less
material and also the energy required for processing typically is proportional to
the volume of the material that will also be reduced.
● Redundancy and arrays:
It is easy to build an array with smaller components because it would require
less area/volume. These units can be then connected in parallel configuration
so that redundancy is built in the system. If anyone of these components fails,
others can take over the operation and thus system continues to function.
● Integration with electronics, simplifying systems:
The electronics that are becoming an integral part of the systems typically are
called smart systems. Interfacing sensors and actuators with electronics usually
used to increase the smartness of the systems. If these sensors and actuators are
also small and can be integrated with transistors, then the systems do not face
problems such as noise and mismatch.
Introduction 7
Thus, it appears that low power and small size or (small area in case of planer
technologies) are the major parameters with which specifications are buildup. In
the case of filters as stated above, the requirements are becoming stringent. Using
multiple filters for different bands will lead to a larger footprint. The digital design
of the radio can be one of the solutions.
well CMOS circuits and complete integration can be done. Finally, MEMS device
fabrication can be done after all the steps of CMOS circuit fabrication are over [4].
these devices has to be done with special instruments and also with special layouts
technique to take care of issues related to parasitic components associated with
resonators and its connections. These details are discussed in Chapter 9.
The packaging of the MEMS devices, in general, a challenging issue since in
many cases packaging materials cannot be used to seal it, unlike the integrated
circuits. In the case of MEMS resonators for FBAR type, space should be available
to vibrate (displacement) in vacuum preferably. There are several other packaging
issues, which in general MEMS devices face are discussed in Chapter 10.
System reliability usually decides all commercialization issues. The system
reliability is usually a product of reliabilities of all its components. For com-
mercialization of MEMS resonators, reliability and sustainability are important
issues for understanding the behavior of the device under various operating
conditions and environment. Reliability of MEMS resonators is an important
issue due to increasing complexity, integration level, and stability and to enhance
desired performance in various applications. These issues are discussed in
Chapter 11.
References
1
Center for VLSI and Nanotechnology, Visvesvaraya National Institute of Technology, Nagpur, India
14 MEMS resonator filters
(1915) in Germany by Karl Willy Wagner [4], and in America by Campbell [5].
The line was simulated by a ladder construction of impedances, an instance of
which is shown in Figure 2.1.
This step was also indirectly suggested by Pupin, and it gave rise to a filter
that, due to its lineage, was referred to as an “electrical wave filter” in America and
a “Wellensiebe” or, less frequently, a “Wellenfilter” in Germany. It was this
invention that made the year 1915 to be usually regarded as the birth year of the
electrical filter, although before that time simple frequency-selective circuits were
also used.
In general, wave filters after Wagner and Campbell can consist of any number
of sections, which consist of three impedances and can be of the T-type or of the
P-type. These types are shown in Figure 2.2.
The example of Figure 2.1 consists of two sections of the T-type. The sections
in a wave filter were chosen identically so that the filter represented a homo-
geneous line.
Usually, for the impedances, reactance was chosen. This could result in a high
pass, low pass, bandpass, or bandstop filters, or even filters with any number of
disjoint passbands. Based on the frequencies of interest these were classified as
lumped and distributed filters. Lumped filters or lumped networks are circuits
whose elements (e.g., R, C, and L) are concentrated within their physical devices.
The electrical and physical properties of the devices are defined at their terminals
and the component connections are small compared to the wavelength of the
highest signal frequencies, which are applied to the filter. Distributed filters are
networks where the physical dimensions of the elements are in the same range as
the signal wavelengths.
During this initial period of the circuit development, it was realized that getting
near to ideal characteristics is difficult. For example, the low pass filter network
required to pass all the frequencies below specified frequency with no attenuation
Zc
Vout
Vin Zc
gnd
(a) (b)
Figure 2.2 The sections of a wave filter can be of (a) the T-type or of (b) the
P-type
16 MEMS resonator filters
and frequencies above with infinite attention. The high pass network will have the
complementary properties and that is no attenuation above the specified frequency
and infinite attenuation for all the lower frequencies. However, these specifications
are not achieved by a network based on physical components such as R, L, and C.
The reason is that such ideal behavior demands the phase of the filter network to be
linear function of frequencies. It can be shown that the impulse response of the
network which gives such ideal response is noncausal time response and hence not
realizable.
The solution to this problem was an important step in the development of the
filter and modified the flow of the design. The after selection of filters specifica-
tions, the selection of realizable function was introduced as an important step. This
step is also called an approximation problem. The filter specifications such as cut-
off frequencies, passband gain, transition, bandwidth, and stopband attenuation are
generally described in the frequency domain. The approximation method, a rea-
lizable rational function is selected so that it meets the specifications with pre-
scribed tolerance. The procedure becomes highly algebraic and is used in the time
domain or frequency domain which is more common.
A physical realization of an ideal filter transfer function is impossible. Hence,
a frequency scheme is given, which is dependent on many system parameters and
trade-offs. Within this frequency scheme, the real filter transfer function has to be
located. Important selection criteria are for example a fast transmission from the
pass-band to the stop-band or a minimum of filter distortion. The closer the ideal
filter transfer function is approximated, the greater is the effort regarding a number
of elements, power consumption, or costs. Depending on the latitudes various
approximations for the ideal filter transfer functions are realizable. Important and
popular approximation functions are Butterworth, Chebyshev, elliptic or Cauer,
and Bessel approximations.
To design these filters, the filter impedances were to be constructed as com-
binations of inductances and capacitances. Design methods were developed by
several people, under whom Otto J. Zobel has a prominent place because in 1923 he
introduced a strategy that allowed the design of filters with an unlimited number of
capacitances and inductances [6]. One of his inventions was the m-type derived
filter, which simplified the design of complicated filters because these could be
derived from relatively simple filters.
Darlington, in 1939, and Cauer, in 1940, both published the same theory to
solve the set of problems just outlined and both displayed the same dazzling vir-
tuosity in mastering a long sequence of thorny mathematical complications. The
importance of the new method was not recognized immediately. It could be used to
design better low-pass filters but it failed to provide such designs in practice
because of the extremely heavy burden of computation required. It was not until the
advent of cheap computation methods, in the 1950s, that Cauer–Darlington filters
came into widespread use. So many computer-prepared designs have now been
published that designing an elliptic-function filter involves little more work than
copying numbers out of a book, and this technique is actually easier than the image-
parameter method. Wilhelm Cauer designed passive filters with well-defined
Filter design 17
very narrowbands became urgent. It would have been difficult to find RLC com-
ponents that would give the desired performance. At the same time, quartz crystals
were explored for their possible applications in electronics. Filter design was
designed developed to accommodate quartz resonators that offer practical Q’s up to
a quarter of a million and stabilities to match.
Quartz technology has been the focus of intense research and development
since the first quartz crystal was demonstrated in 1922 [12]. The essential feature of
frequency reference performance is that the frequency of the output signal should
be constant over time; it should have good stability. Quartz crystal frequency
reference products are sold in a dizzying array of sizes, shapes, and acronyms, but
they can be classified into four broad categories based on the method of achieving
stability [13]:
1. The basic crystal oscillator (XO). These are the cheapest and most common
frequency references, with the lowest levels of performance.
2. Temperature-compensated crystal oscillator (TCXO). These are sold as a sin-
gle component which includes the oscillator circuitry required to generate the
frequency output with temperature compensation.
3. Microprocessor-controlled crystal oscillator (MCXO). These are oscillators
whose output frequency is modified by a dedicated microprocessor, typically
using a phase-locked-loop or digital frequency multiplier to adjust the output
frequency as required to maintain output stability.
4. Oven-controlled crystal oscillator (OCXO). These devices are built inside a
temperature-controlled enclosure for maximum temperature (and hence, fre-
quency) stability.
The better performance of a quartz-based device requires increasing the size,
power consumption, in turn, for the price of the device. Clever circuitry and man-
ufacturing may modify the slope of this performance function somewhat, but it
cannot be escaped entirely as long as the underlying technology is the same.
The quartz crystal, to a first approximation, is represented by a network of
inductance and two capacitors that are inseparably given as a single unit. As can be
seen, by discussions above, it was obvious the replacement of a few bulky and
leaky components. However, a considerable amount of fresh theory was needed to
treat quartz networks as components so as to reap the advantages of their enormous
Q figures. But quartz crystals are expensive, so additional resourcefulness was
needed to make use of the cheaper mechanical and ceramic resonators that have
quality factors somewhere between those of L-C components and of quartz. This
led to further developments in this area. In the beginning, researchers together with
engineers had the dream to replace quartz by silicon and they started working on
resonators designed for filter application in cell phones.
The answer to this quest is MEMS integration with the CMOS IC platform.
The MEMS resonator compatible with CMOS integration can not only replace
quartz crystals but also create new devices with superior frequency performance
and order of magnitude improvement in size, cost, and power consumption. Such
integration of MEMS devices with CMOS circuitry leads to develop new
Filter design 19
Filter design
Figure 2.3 Typical modeling and simulation workflow used during RF filter
design [21]
Filter design 21
gives efficient performance. Once the structure is selected for desired resonance
frequency, the suitable physics is used to model the operation of the resonator.
There are various physics that can be incorporated in a model of resonator
depending on the principle of resonator like electro-mechanics, thermal, and pie-
zoelectric. In the model of resonator, one must consider the losses to get more
accurate response of the resonating device. Figure 2.3 shows the various losses
which can be encountered in the operation of resonator. In commercial tools like
COMSOL, CoventorWare, or others, there is a feature to consider some of these,
some can be taken care of by adding more parameters in the existing models. After
considering all physics-based aspects of the resonator, various studies can be per-
formed to understand the working of resonators. These studies are in time domain,
frequency domain, or eigenvalue analysis. The eigenanalysis of structure gives the
mode shape of structure which illustrates the deformation during resonance. Once
the resonators are built with desired characteristics, they can be used as building
blocks for designing the MEMS-based filter. In designing the filter, coupled reso-
nators are used; hence the design methodology will moreover remain the same.
The other impact on filter technology definitely came from microelec-
tromechanical components, which is the topic of this book. The implementation of
this new technology not only requires usual network analysis but also requires
careful design of the device which comes with so many options such as different
materials and various physical geometry and size. The examples are given here to
illustrate the methodology of these new types of filters. A similar methodology is
used later in the next couple of chapters but targeted for different materials and
applications and also fabrication.
In this chapter, various design methods such as parallel resonators with elec-
trical coupling, notching, and low-velocity coupling have also been described and
demonstrated to realize a micromechanical disk-based array band-pass filter with
smaller bandwidth while still retaining reasonable passband shapes [27–29]. With
such a very small percent bandwidth filtering, it is possible to remove unwanted
interference in the receive path of a communication handset. The percent of
bandwidths achieved are small enough to make possible channel-selection much
easier in a receive path chain, which could then greatly enhance the robustness and
battery lifetime of future wireless transceivers [30,31]. Due to the very small size of
the resonator element, to design a small bandwidth filter, the mechanical coupling
will require submicron size of coupling elements (i.e., wires) that are critical to
fabricate using optical lithography. In addition, filter characteristics are sharply
dependent on the position and dimensions of the coupling elements [32].
High-order electromechanical filter design involves several elementary
resonators interacting with each other in a way to generate a given passband
function [33]. Customarily, the coupling of mechanical resonators is achieved
with a soft mechanical beam connected between two resonators. However, the
mechanical coupling approach presents several limitations concerning the geo-
metry of the filter (in most cases only adjacent resonators can be coupled), the
transfer function (only “all-pole” functions are achievable) and the tuning (the
coupling strength can’t be modified after fabrication). Thus, of resonators and
coupling between them is important.
the issues with this work is the fabrication process is not compatible with CMOS.
The quality factor can also be increased with other geometries of resonator.
In reported work [45], an HF CVD diamond disk, the WGM has been shown to
greatly enhance f•Q product by suppressing anchor losses, in particular posting a
record-setting Q of 109,200 at 515 MHz, the highest Q reported to date in this
frequency range for a room-temperature acoustic device. The higher modes
improve the quality factor and increase the operating frequency at the same time it
brings nonlinearity in sensing output
Recently, an elastic link between closely spaced resonators is introduced by an
electric field, which is generated by the voltage applied between the resonators
[31]. This approach offers several advantages, namely, voltage control of the elastic
link (hence a good filter tunability) and the absence of a coupling spring. This
technique has been used to realize a fourth-order filter composed of two clamped-
clamped beam resonators coupled using this technique. However, the need to clo-
sely space the vibrating elements of the resonators is very difficult. From the
geometrical shape of the resonators [31], it appears that the electrostatic coupling
can seldom be used with three or more clamped-clamped beam resonators.
Moreover, the technique can’t be directly applied to resonators with nonrectilinear
shapes (e.g., vibrating disk resonator). Structures in which an intermediate DC-
isolated coupling electrode inserted in-between the vibrating elements have been
presented [32]. The coupling electrode is placed close to the vibrating elements, in
a way to yield two series-connected electrostatic transducers. It can have an arbi-
trary shape, thus liberating the designer from the geometrical restrictions men-
tioned above. The coupling strength is fixed at the design level by the value of the
common node parallel-to-ground capacitance. The passband shape is tuned by
adjusting the resonator bias voltage, which doesn’t affect the coupling factor but
only the natural frequency of resonators. This tuning technique increases the
insertion loss and can only be used for small passband shape correction.
The two recent papers [46,47] introduces a design flow for micromechanical
RF channel-select filters with tiny fractional bandwidths capable of eliminating
strong adjacent channel blockers directly after the antenna, hence reducing the
dynamic range requirement of subsequent stages in an RF front-end. In this work,
mechanical system design flow described like VLSI transistor circuit design,
hierarchical with a design stack built upon vibrating micromechanical disk building
blocks capable of Q’s exceeding 10,000. This paper had a detailed discussion about
the different (half, quarter, and full) wavelength of coupling beam over operation of
the filter.
In the design of the MEMS-based filter, the design of the resonator plays a
vital role in achieving the desired characteristic of the filter. This section presents
the detailed theoretical aspects of the resonator, disk resonator, coupled-resonator,
and thermal stability of resonator for filter application. In this implementation of
the filter, the disk resonator is chosen over the beam due to its high-frequency
resonant mode. The design and operation of the disk resonator are discussed in
Section 2.4 with the analytical electromechanical model. Also by considering the
specification of filter to design, the disk resonator is designed in the FEM tool
Filter design 25
COMSOL MultiPhysics. The simulated results for the disk resonator have been
shown in Section 2.4.
(a) (b)
beam having its movement constrained at both ends. This beam configuration is
commonly known as “clamped-clamped” (c-c). Also Figure 2.4(b) shows are the
deformed shapes for the “first” flexural-mode, which has the lowest resonant fre-
quency and is labeled as “flexural” because the movement is in a direction parallel
to the beam thickness. In Figure 2.4(b), the 3D representation of the deformed
shape for an equivalent doubly anchored MEMS beam is shown, outlining the
maximal displacement in the middle of the beam. The resonant frequencies of such
beams are relatively high, because of their small size. As an example, a clamped-
clamped beam that is 40 mm long would have a typical resonant frequency in excess
of 8 MHz [48], depending on the structural material used. For smaller beams, the
resonant frequency can reach values as high as 100 MHz [24].
To provide the mechanical forces necessary to drive the resonator into reso-
nance, transducers are needed. These transducers are principally operated by the
thermal, magnetic, piezoelectric or electrostatic ways. The piezoelectric transdu-
cers are more efficient for the operation of resonance in comparison with other
types of transducer. But the materials used in the piezoelectric transducer mostly
limit their on-chip use in filters. However, due to the simplicity in fabrication and
operation, electrostatic transducers are considered in this implementation of the
filter. To understand the details of electrical and mechanical forces acting on the
resonators requires a model. The design of the resonator can use this model for
calculating physical parameters.
Damping coefficient
C
Mass of F
resonator M
Spring constant
K
2.3.2 Actuation
There are well-known methods for transforming electrical energy carried by a
voltage signal to mechanical energy in an RF MEMS resonator like thermal, pie-
zoelectric, magnetic, and electrostatic. In this implementation of the filter,
28 MEMS resonator filters
electrostatic actuators, the most common energy conversion method, rely on the
force between two charged plates
1 q2 ðtÞ
F¼ (2.7)
2C d
where q is the charge on each plate, C is the capacitance, and d is the gap distance
between the two plates [49,50]. Most of the reported MEMS resonators, such as
comb drives [40] doubly clamped beams [24], and BAW resonators [51], function
with electrostatic actuation. Typically, one plate corresponds to the freely sus-
pended MEMS resonator and the second plate is anchored to the substrate. When a
voltage difference is applied across the two plates, the suspended plate will be
drawn toward the fixed plate. The equation of motion follows the form of (2.1)
replacing F(t) with an electrostatic driving force,
2
d x dx 1 Q2 ðtÞ
m þ c þ kx ¼ (2.8)
dt2 dt 2 eA
where A is the area of the plate and e is the dielectric permittivity. The driving force
can be rewritten as
1 Q2 ðtÞ 1 eAVin2 1 eAVin2
¼ ¼ (2.9)
2 eA 2 ðd xÞ2 2 d 2 ð1 x=dÞ2
where x/d is a very small quantity and can be neglected.
Additionally, Vin has both an AC and DC component and is written as
eAV0 =d 2 k 0
xac ¼ vac (2.11)
jw=Qw0 þ 1 ðw=w0 Þ2
where k0 is the DC tunable spring constant
0 eAV02
k ¼k 1 (2.12)
kd03
Electrostatic actuation is advantageous since it is not dependent on material
properties, unlike piezoelectric actuation. It is very effective at high frequencies,
and the large impedance reduces power consumption. However, there are numerous
disadvantages that electrostatic actuation must overcome like significant non-
linearities are inherent to the driving force. Both nanometer-sized gaps (which arise
critical fabrication challenges) as well as large DC voltages (often over 100 volts)
are required to produce sufficient driving forces and detectable motion.
Additionally, electrostatic fields generated in the transducer can be influenced by
outside forces and can couple with the MEMS motion detection mechanism. Due to
Filter design 29
the capacitive nature of the actuation method, the input impedance is very high.
Consequently, in order to interface a MEMS filter with a standard 50 W network, a
lossy and bulky matching network is required if substantial signal reflection from
the impedance mismatch is to be avoided, which is a part of the design process. The
signal detection is again done by converting mechanical motion into electrical
signals, which is discussed in Section 2.3.3.
2.3.3 Detection
One of the most common methods for detecting the mechanical motion of a MEMS
device is by monitoring the capacitive characteristics of the electromechanical
resonator. A micromechanical resonator can be configured to have a capacitive
output port, that is, the resonator membrane forms one nonstationary side of the
capacitor and the substrate or adjacent structure forms the static side of the capa-
citive output port. The current flowing through the MEMS capacitor is
dQ
i¼ (2.13)
dt
Since at resonance the gap is varying with time, (2.13) becomes
dðCVÞ
i ¼ V0 (2.14)
dt
which, following the notation of (2.9) and (2.10) and again rewriting in terms of x/
d0, can be written as
eA eA x
d ðV0 vac Þ d 1 þ ðV0 vac Þ
dx d d
i¼ ¼ (2.15)
dt dt
Thus, it has been seen from (2.15), the current depends on deformation
occurred during resonance. Hence by measuring the displacement current produced
by the vibrating resonator, the characteristics of the mechanical motion are to be
determined. When monitoring the current, the MEMS resonator is viewed as a two-
port system where vibrations are induced and measured on separate actuators. Like
the electrostatic actuator, displacement current detection has disadvantages due to
its capacitive (high impedance) nature, its susceptibility to electrostatic cross-talk,
its nonlinear dependence on d0, and the necessity for small gaps. The electrostatic
MEMS resonator is also be implemented as a one-port system, where motion is
both induced and sensed with the same capacitive transducer.
Substituting x from (2.11) into (2.15) and solving for the impedance, Z(w) ¼ v/i
w0
w2 jw w20
Q
ZðwÞ ¼ (2.16)
w0
jwC w2 jw þ w2AR
Q
30 MEMS resonator filters
(a) (b)
Figure 2.7 Mode shape of circular disk resonator: (a) radial-contour mode or
(b) wine-glass mode
the lateral surface, and Figure 2.7(b) elliptical wine-glass mode where disk expands
along the circumference of the disk. For elliptical mode, the disk expands along one
axis and contracts in the orthogonal axis forming two alternate and perpendicular
ellipses per cycle of vibration with four nodal points at the perimeter. The wine-
glass mode has expansion and contraction in-plane for higher modes. In this work,
we consider disk in elliptical mode i.e. wine-glass mode of operation.
Is
Sensing electrodes
Vp
ds
Anchored
ed
Vac
dd Vac
es
Driving electrodes
Is
The displacement variables are independent of the thickness of disk, that is,
independent of z-coordinate.
By substituting (2.19) into (2.18) and taking the divergence and curl of (2.18),
respectively, the elastic equations for P-wave and S-wave may be written as
@2F
¼ a2 r 2 F (2.20)
@t2
@2Y
¼ a 2 r2 Y (2.21)
@t2
where Jm is Bessel functions of the first kind (Jm) and m is the mode order, it is
equal to or greater than 2. The m ¼ 0 corresponds to mode shapes that are inde-
pendent of the circumferential direction, with displacements solely either in the
radial direction (radial) or in the circumferential direction (torsional). And
m ¼ 1 involves a nonzero deformation at the center of disk (translational). For this
disk resonator, we consider resonant modes at disk circumference.
In (2.22a) and (2.22b), wm is angular resonant frequency and Am and Bm are the
constants of the elastic waves, in the unit of square meter. And km and hm are both
dimensionless frequency parameters, respectively, expressed as
km ¼ wm R=a
(2.23)
hm ¼ wm R=b
Substituting (2.21) into (2.19) leads to the radial (U) and circumferential (V)
components of the displacement vector, respectively, expressed as
d m
Um ¼ Am Jm ðkm r=RÞ þ Bm Jm ðhm r=RÞ cosðmqÞ (2.24a)
dr r
m d
Vm ¼ Am Jm ðkm r=RÞ Bm Jm ðhm r=RÞ sinðmqÞ (2.24b)
r dr
34 MEMS resonator filters
For a disk with a free edge, the boundary conditions at r ¼ R, radial normal
stress (sr), and circumferential shear stress (tr) are 0. The expression for sr and tr
both solves (2.24)
E @U n @V
sr jr¼R ¼ þ Uþ ¼0 (2.25a)
ð1 n2 Þ @r r @q
E @V 1 @U
sr jr¼R ¼ þ V ¼0 (2.25b)
2:ð1 þ nÞ @r r @q
After solving this equation, we get Am and Bm in matrix form, that is
a11 a12 Am
¼0 (2.26)
a21 a22 Bm
which is solely associated
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
ffi with km, hm, and n, while hm can be expressed as
hm ¼ k m 2=ð1 nÞ from (2.23) and (2.24)
The solution of Am and Bm obtained when the determinant of the matrix is zero.
This determinant is zero only for eigenvalue which corresponds to the resonant
frequency of in-plane vibrations of a disk. The eigenvalue equation for the resonant
frequency can be expressed as follows:
km Jm1 ðkm Þ h2m hm Jm1 ðhm Þ h2m
m m
Jm ðkm Þ 2ðm2 1Þ Jm ðhm Þ 2ðm2 1Þ
h2m
m2 1 ¼0 (2.27)
2ðm2 1Þ
where Jm is Bessel function of the first kind, m is number of modes, km and hm are
nondimensional
pffiffiffi frequency parameters. The relation between hm and km is
hm ¼ km 2=ð1 nÞ. This equation is used to find a frequency parameter. The
resulting values of km and hm could be verified with [52].
The resonance frequency is calculated as [37] (2.28)
sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
km E
fm ¼ (2.28)
2P R rð1 n2 Þ
(a) (b)
(c) (d)
The modal shape for each mode of vibration of a disk is estimated using
COMSOL MultiPhysics. Figure 2.9 shows the bulk modal response of disk simulated
in COMSOL MultiPhysics. COMSOL FEM results are verified with numerical cal-
culations performed with the above-mentioned formulation as shown in Table 2.1.
After studying the mechanical behavior of the disk we now will now look at
the electromechanical aspect of the resonator.
Table 2.1 Resonance frequencies for free, fixed disk, and theoretical calculation
(* frequencies are in GHz)
Dimensions
Input Output
voltage Input Force Vibrating Displacement Output current
transducer structure transducer
given point of the resonator is determined from the total kinetic energy of the
resonator KE and the velocity at that point v(x,y). The output transducer senses the
change in displacement at the sensing electrode and induces a current. This elec-
trostatic energy comes from the mechanical vibration of the resonating structure.
So, at output transducer kinetic energy of vibrating structure converts back into
electrostatic energy.
Figure 2.11 shows the electro-mechanical model of resonator stating one to
one equivalent for electrical and mechanical domains. The transduction coeffi-
cients, h1 and h2 model energy transduction efficiency between electrical and
mechanical domains by considering the amount of mechanical force F generated
from voltage input vi and the output current io generated from mechanical dis-
placement x, they are expressed as follows:
F i0
h1 ¼ h ¼ w0 (2.30)
vi 2 x
The mass damper model for a disk is solved for further analysis of the disk
resonator. The dynamic behavior of this infinitesimal element along the cir-
cumferential direction, q, is described by the second-order equation of motion:
d2 d
mðqÞ 2 ½Ar UR þ Cd ½Ar UR þ KðqÞ ½Ar UR cosð2qÞ ¼ fe ðqÞ
dt dt
(2.31)
Filter design 37
C=1/Cd L=M
F Ar
Vac io
n1:n2 n1:n2
Vdc
U ¼ Ar cosð2qÞ Ur (2.32)
where Ur ¼ k J1 ðkr0 Þ r20 J2 ðkr0 Þ þ r20 xJ2 ðhr0 Þ and r0 ¼ r=R denotes the dimen-
sionless radial coordinate, normalized to disk radius R. The effective mass for an
infinitesimal element, dq, can be expressed as
where dd and ds are the capacitive gaps for the drive and the sense electrodes,
respectively, and e denotes the permittivity of air.
38 MEMS resonator filters
M ¼ p r h R2 S=UR2 (2.39)
K ¼ M w2 (2.40)
F ðjwÞ
h1 ðjwÞ ¼ (2.41a)
vd ðjwÞ
Qs ðjwÞ 1 is ðjwÞ
h2 ðjwÞ ¼ ¼ (2.41b)
ZðjwÞ jw ZðjwÞ
0 Qd ðjwÞ 1 id ðjwÞ
h1 ðjwÞ ¼ ¼ (2.41c)
ZðjwÞ jw ZðjwÞ
0 F ðjwÞ
h2 ðjwÞ ¼ (2.41d)
vs ðjwÞ
where Qs and Qd are the charges going through the sense and drive electrode,
respectively. While the electromechanical coupling from the drive electrode the
Filter design 39
sense electrode is denoted by h1 ; h2 , the coupling from the sense electrode to the
0 0
drive electrode is denoted by h1 ; h2 .
Substituting (2.37) into (2.41a) and (2.41d) gives rise to the following expres-
sions for the voltage-force transfer functions at the sense and drive electrodes:
ehR
h1 ðjwÞ ¼ ðVdc Þ sinðqe Þ (2.42)
dd2 UR
0 ehR
h2 ðjwÞ ¼ ðVdc Þ sinðqe Þ (2.43)
ds2 UR
The displacement-current transfer functions at the sense and drive electrodes
can be written as
0 ehR
h1 ðjwÞ ¼ ðVdc Þ sinðqe Þ UR (2.44)
dd2
0 ehR
h2 ðjwÞ ¼ ðVdc Þ sinðqe Þ UR (2.45)
ds2
Substituting above equations in transfer function results in the transfer func-
tions in the form of admittance of series RLC tanks with the equivalent inductance,
capacitance, and resistance expressed, respectively, as
K K
L21 ¼ L11 ¼ 0
h1 h2 w2 h1 h1 w2
0
h1 h2 h1 h1
C21 ¼ C11 ¼ (2.46)
Kð1 Ke =KÞ Kð1 Ke =KÞ
pffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffi
K M K M
R21 ¼ R21 ¼ 0
Q h1 h2 Q h1 h1
The motional impedance is referred to as R21.
It is worth mentioning that depending on the electrode configuration, the
output current is in phase or 180o out of phase with respect to the input voltage.
In case of in phase displacement of the resonator toward sense and drive electrodes,
that is, confronting sense and drive electrodes, the current coming out of the device
has 180o phase difference with the input voltage while for the four-electrode
configuration.
(a) (b)
Figure 2.12 The structure realized in COMSOL: (a) for solid mechanics physics
and (b) for electro-mechanics physics
of operation, that is, elliptical mode. This type of actuation is known as differential
actuation. So, force of actuation is provided by electrodes. Electrodes are connected
to ac voltage. The dc actuation voltage is provided through anchor or electrodes.
The Figure 2.13 shows the displacement versus frequency plot for disk reso-
nator which has excitation by semi elliptical-electrodes. The Figure 2.13 shows the
spurious resonant modes which needs to avoid for an operation of resonator. The
Figure 2.14 shows the displacement versus frequency plot for disk resonator which
has excitation by quadratic elliptical-electrodes as shown in Figure 2.12(b). It is
observed from the plot that the spurious resonant modes are eliminated and others
high frequency resonant modes can be removed in differential mode of sensing.
–4
Normalized displacement
–5
Mode 2, i.e. elliptical
mode shape response
–6
–7
–8
2.5 2.6 2.7 2.8 2.9 3.1 3.3 3.5 3.7 3.9
Frequency (GHz)
Figure 2.13 Displacement versus frequency plot for disk resonator with
electrodes is not properly shaped. The circled response is the desired
response for this work
10–4
10–5 Red circle responses are
Normalized displacement
vacuum) and is suitable for operation in harsh environments [56,63]. Because of these
characteristics, diamond is a very good candidate for realizing reliable, high power,
and temperature-stable MEMS and microwave devices [56,57]. Thin-film diamond
can be classified into a single crystal, microcrystalline (MCD), nanocrystalline
(NCD), and ultra-nanocrystalline (UNCD) films. These films are grown on different
substrates which are dependent on the respective application.
Table 2.2 compares the mechanical properties of NCD films with other materials
used in microsystems technology. Along with positive mechanical attributes, NCD
possesses low loss when used as a thin film at microwave frequencies.
Ultra-nanocrystalline diamond is among the best choice for bulk mode MEMS
resonator. This material has the highest Young’s modulus and low dissipation
losses. Ultra-nanocrystalline grain structure provides several advantages over
microcrystalline and nanocrystalline. UNCD can have Young’s modulus and
stiffness as high as a single-crystal diamond. Single crystal diamond has Young’s
modulus close to 1,200 GPa. The UNCD has Young’s modulus close to 1,000 GPa.
UNCD film’s mechanical and electrical property depends on sp3 bonding and los-
ses are governed by sp2 bonding which is mainly at grain boundaries. The nitrogen
incorporation in plasma increases graphitization. This reduces resistivity which can
minimize dissipation for UNCD film [55]. The new ultra-nanocrystalline diamond
(UNCD) developed at Argonne National Laboratory [58] is emerging as one of the
most promising forms of diamond with unique multifunctional properties.
The UNCD films are grown using a microwave plasma chemical vapor
deposition (CVD) technique involving new CH4/Ar chemistry. The process yields
films with extremely small grain size (3–5 nm), significantly smaller than nano-
crystalline diamond films (30–100 nm grain size) produced by the conventional
CH4/H chemistry [53,58]. The films possess many of the outstanding physical
properties of the diamond, that is, they exhibit exceptional hardness, extremely low
friction coefficient and wear, high thermal and electrical conductivity (the latter when
deposited with nitrogen), high electrical resistance when grown with hydrogen
addition to the CH4/Ar plasma, and high chemical inertness, optical transmittance,
electrical carrier mobility, and dielectric breakdown strength. UNCD is characterized
by a unique microstructure of sp3-bonded grains and atomic grain boundaries (2–4 Å)
with substantial sp2 coordination. Preliminary results have shown that this unique
microstructure results in outstanding mechanical properties (97 GPa hardness and
967 GPa Young’s modulus, which is similar to single-crystal diamond), unique
Si SiC Diamond
Bandgap (eV) 1.12 2.2/2.9 5.5
Beak down field (106 V/cm) 0.5 3–6 10
Young’s modulus (Gpa) 160 450 1,000–1,500
Fracture strength (Gpa) 1.37 — 10.3
Thermal conductivity (W/cmK) 1.47 4.9 22
Thermal stability (oC) 500 1,500
44 MEMS resonator filters
2CH4 ! C2 H2 þ 3H2
C2 H2 ! C2 þ H2
Figure 2.15 Proposed fabrication flow for the design of disk resonator
the surface of the wafer is damaged and seeded with the diamond powder for
the subsequent growth process. Nucleation density of 106–1,010 cm2 is
achieved through this method [58].
● Bias enhanced nucleation (BEN): Although the first two processes are popular
and result in good diamond films, nucleation density is best in the BEN process
[56]. In the microwave plasma-enhanced CVD (MPECVD) process, prior to
growth, in the BEN stage, the substrate is negatively biased at around 250 volts
resulting in a starting current value of 10 mA.
The ultra-nanocrystalline diamond is among the best choice for this work of
the high-frequency application. In the recent development of depositing UNCD at
low temperatures, it is now possible that UNCD can be compatible with the CMOS
process. The following steps deduced the proposed fabrication flow.
46 MEMS resonator filters
1. Thermal oxidation:
UNCD films can be directly grown on Si substrate but for MEMS application it
is advantageous to use SiO2 as a sacrificial layer. This SiO2 layer is grown on
Si by thermal oxidation for the thickness of about 1 mm.
2. Cleaning and seeding of wafer:
The substrate is then cleaned by methanol. The size of the crystal depends on the
seeding layer and also affects the deposition temperature. For CMOS compatible
processes, UNCD deposits at a low temperature of 400 C. This can be achieved
by depositing the thin (10 nm) Tungsten layer first. And then seeding layer of
diamond nanoparticles (DNG) with dimethyl sulfoxide diluted with methanol
solution is spin-coated on the SiO2 layer. This is the ultrasonication of the Si-
SiO2 substrate. This decides the grain size of UNCD film. For UNCD film
deposition, 4–12 nm of DNG particles are used in the seeding layer.
3. Deposition of UNCD:
UNCD films can be deposited in the CVD process with CH4(1%)/N2(2–5%)/Ar
Plasma, 1,200 W power at 2.45 GHz RF frequency, the pressure of 100 Torr.
This deposition can be done at a temperature of around 400 C to 800 C to
achieve 400 nm thickness of UNCD film. After the deposition of the UNCD
film, the hard mask is deposited in this film.
4. Etching and patterning of UNCD:
(a) UNCD film is hard to etch which is etched by reactive Ion etching (RIE).
In this process, UNCD diamond films grown by CVD on SiO2 sacrificial
layers were etched in a CF4/O2 plasma using Aluminum (Al) as a hard
mask. This etch was reasonably selective to SiO2 (15:1), enabling the
fabrication of diamond disk resonators. Al mask is deposited by RF
sputtering on UNCD film at 350o C for UNCD patterning.
(b) The Al film patterning is carried out by photolithography. Again, RIE is
used with a mixture of Ar/HBr/Cl to achieve higher selectivity. Further to
remove residual Al the wet acidic etchant (H3PO4, HNO3, acetic acid) is
used. These fabrication steps are to realize the disk structure.
5. Electrode deposition:
Polysilicon for the electrode can be deposited by LPCVD. This layer is pat-
terned and etched by lithography. And sacrificial layer SiO2 is etched by HF.
UNCD films are highly resistive to HF, this enables UNCD film and their
structure to suspend easily.
6. Deposition of dielectric coating:
The dielectric is deposited to improve the thermal stability of the device and
also to improve motional impedance. For this work, silicon dioxide (SiO2) and
titanium oxide (TiO2) are considered. The deposition for both layers is for a few
nanometers. This deposition is possible to achieve by atomic layer deposition.
The commercial CAD tool CoventorWare FEM tool is used to simulate the
disk resonator with the proposed fabrication flow in Figure 2.15. Figure 2.16 show
the realized structure in CoventorWare and Figure 2.17 shows the plot of dis-
placement versus frequency.
Filter design 47
Anchor
UNCD
Electrodes
Si-
SiO2 substrate
1.60E–09
1.40E–09
1.20E–09
Magnitude displacement (m)
1.00E–09
8.00E–10
6.00E–10
4.00E–10
2.00E–10
0.00E+00
2.43E+09 2.46E+09 2.48E+09 2.51E+09
Frequency (Hz)
2.5
2.49
frequency (GHz)
2.47
2.37
frequency (GHz)
2.365
Resonance
2.35
250 270 290 310 330 350
(b) Temperature (K)
Figure 2.18 Plot of resonance frequency versus temperature for (a) UNCD
without a coat and UNCD with SiO2 10 nm coat and (b) UNCD with
a TiO2 coat
resonator. First, if this dielectric coating has the positive TCF of frequency, then
shift in frequency can be compensated. Second, this dielectric coating also
improves the motional impedance of resonator.
The oxides are the best choice as a dielectric because most of them have
positive TCF. For a CMOS compatible process, SiO2 is preferred due to ease of
fabrication. The Young’s modulus of SiO2 increases with increasing temperature.
The temperature coefficient of expansion (aL) is 0.5 ppm/K. The temperature of the
coefficient of Young’ modulus (TC of E) is around þ185 ppm/K [54]. The
dependence of shift in resonance frequency on aL can be neglected. The 10 nm
thick coating of SiO2 on UNCD provides the TCF of 8.5 ppm/K calculated for data
of Figure 2.18(a). The other choice of metal oxide is tungsten oxide (TiO2) which
has a high dielectric constant. The influencing feature to select this material is
because of adhesion with UNCD with high dielectric constant. The aL for TiO2 is
in 8.4–11.8 ppm/K. And TiO2 is the hardest metal oxide and it has excellent
thermal-mechanical stability. For 20 nm thick TiO2 coating on UNCD, TCF of
2.5 ppm/K is achieved from Figure 2.18(b).
Filter design 49
kr1 kr 2 ks K0
m r1 mr 2 mo
ks12c
cr1 cr 2 C0
(a) (b)
Figure 2.19 (a) Mass spring model for two coupled resonator and (b) equivalent
filter individual resonator
50 MEMS resonator filters
dimensions and resonance frequencies. For such designs, the center frequency of the
overall filter is equal to the resonance frequency of the resonators, while the filter
passband (i.e., the bandwidth) is determined by the spacing between the mode peaks.
The relative placement of the vibration peaks in the frequency characteristic—
and thus, the passband of the filter—is determined primarily by the stiffness of the
coupling springs and of the constituent resonators at their coupling locations. In
particular, for a filter with center frequency f0 and bandwidth B, this stiffness
satisfies the expression:
f0 ks
B¼ (2.48)
a kr
where a is the coupling coefficient and ks is the spring constant of coupling spring,
and k is the spring constant of the resonator at coupling location. One must take
notice of (2.48) that filter bandwidth is not dependent on the absolute values of
resonator and coupling beam stiffness, rather their ratio dictates bandwidth. Thus,
the general procedure for designing a mechanical filter involves two main steps:
1. design of mechanical resonators with resonance frequencies at or near f0 and
with reasonable stiffness, and
2. design of coupling springs with appropriate values of stiffness to achieve the
desired bandwidth.
Lc
Wc f2
f1
(a)
x 1′ x2′
Za Zb
f2
f1 Zc
(b)
Figure 2.20 (a) Coupling beam under forces f1 and f2 with corresponding velocity
responses and (b) general transmission line T—a model for the
coupling beam
i+
Coupling
spring
Anchor
i–
vin+
vin–
(a) z
y x
mr1 mr 2
ks12c
cr1 cr2
(b)
Figure 2.21 (a) Perspective view schematic of a two flexural-mode ring resonator
micromechanical filter, along with the preferred bias, excitation, and
sensing circuitry. (b) The equivalent mechanical circuit
10–6
Normalized displacement
10–7
(a)
10–8
10–9
Figure 2.22 The two disk coupled at low velocity location with l/4 (1.45 mm):
(a) in phase frequency 2.479 GHz, (b) out of phase frequency
2.48 GHz, and (c) displacement versus frequency plot
Filter design 53
The high-velocity coupling location is illustrated also for l/4 and l/2 coupling
beam. For the l/4 coupling beam, it acts as a transmission line that provides reac-
tance. However, such a l/4 coupling beam shifts the resonance frequency for larger
bandwidth as compared to low-velocity coupling. This coupling is not useful for
filter application as it degrades the performance of the desired mode and unwanted
modes become more dominant. Figure 2.23 shows the disk coupled by the l/4
(1.5 mm) beam. This l/4 coupling beam contributes to reactance in the overall
reactance of disk significantly which results in a large shift in resonance frequency.
The l/2 coupling beam couples two resonators, which oscillate at the same
frequency. By transmission line concepts, this beam does not provide in reactance
which makes two resonators to vibrate at their natural frequency. Figure 2.24 shows
two disks coupled by the l/2 (3 mm) beam at the high-velocity location. It is
observed that both resonators are vibrating at the same frequency.
(a) (b)
Figure 2.23 The two disks coupled at high velocity location with l/4 (1.5 mm):
(a) out of phase frequency 2.41 GHz and (b) in phase frequency
2.52 GHz
Figure 2.24 The two disk coupled at high velocity location with l/2 (3¼m):
(a) out of phase frequency 2.41 GHz and (b) in phase frequency
2.52 GHz
54 MEMS resonator filters
(a) (b)
Figure 2.25 The four disk coupled at high velocity location with l/2 (3 mm) and at
low velocity location with l/4 (1.5 mm and width ¼ 0.3 mm): (a) out of
phase frequency 2.488 GHz and (b) in phase frequency 2.489 GHz
10–3
Normalized displacement
10–4
10–5
Figure 2.26 Normalized displacement versus frequency for four coupled disk
resonator
Filter design 55
10–3
Normalized displacement (um)
10–4
10–5
Figure 2.27 Four disks coupled-resonator filter response. Blackline shows the
possible flat band response of filter
one pair of a resonator coupled in array mode and sensing of output is done by
another pair of a resonator in array mode. Figure 2.27 shows filter response with
1 MHz bandwidth.
iout+
Differential
Anchors sensing
iout–
Coupling spring
Ring resonator Vp
z
Vin+
Differential
driving
x
Vin– y
(a)
mr1 mr 2
cr 1 ks12c cr2
(b)
Figure 2.28 (a) Perspective view schematic of a two flexural-mode ring resonator
micromechanical filter, along with the preferred bias, excitation, and
sensing circuitry, and (b) the equivalent mechanical circuit
Filter design 57
( )12
iði2 1Þ EIx
f0 ¼ (2.49)
2pR2 m i2 þ GC
EIx
2 3
0
2 3 66 sin ia
7
7
x 6 7
6
6y 7 6 0 7
6 7¼6 8 9 7
4z 5 6 > GC > 7 (2.50)
7
6 i2 > < >
= 7
q 6 EIx
sin ia 7
4 R> GC > 5
>
:1 þ i2 >
;
EIx
where i is the mode number; x,y,z, and q are the mode shapes corresponding to
deformations parallel to x,y,z axes, and rotation about z-axis, respectively; m is the
mass per unit length of the ring; C is a torsion constant; E represents the modulus of
elasticity; G is the shear modulus; Ix and Iy are the area moments of inertia about x
and y axes, respectively; R represents the radius to mid-line of the ring; a is
the angular position about the ring; m is the mass density of ring material; and v is
the Poisson’s ratio. Equivalent mechanical elements, such as dynamic mass,
58 MEMS resonator filters
spring, and damper, are also given below as equation (2.51), (2.52), and (2.53),
respectively:
Ð 2p
0 2 0
rWr h 0 Zmode ðq Þ dq
mre ðyÞ ¼ (2.51)
½Zmode ðqÞ2
kre ðyÞ ¼ w0 2 mre ðyÞ (2.52)
pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
kre ðyÞmre ðyÞ w0 mre ðyÞ
cre ðyÞ ¼ ¼ (2.53)
Q Q
Figure 2.29 shows a 3D simulated plot of vibrating mode shapes of a flexural-
mode ring resonator mechanically coupled by l/4 coupling spring. In the lower
frequency mode, both resonators vibrate 180 out of phase at a frequency of
4.3961 MHz; and in the higher frequency mode, the resonators vibrate in phase at a
frequency of 4.4324 MHz. Both high-velocity points (low-stiffness) and low-
velocity points (high-stiffness) are indicated for the flexural-mode ring geometry in
Figure 2.29. The support beams which play an important role are also highlighted.
The filter design is dominantly governed by the bandwidth equation [25,32]
ks12 f0
BW ¼ (2.54)
k12 krc
where f0 is the center frequency of the filter, it is also the resonant frequency of each
of the resonators, k12 is the normalized coupling coefficient (kij ¼ 0.7225 [32]), ks12 is
Out of phase
f0 = 4.3961 MHz
High-velocity
(a)
In phase
f0 = 4.4324 MHz
(b) Support beam
the coupling beam stiffness, and krc is the resonator effective stiffness at the coupling
location. In our design, the effective stiffness is highest at the motionless nodal points
of the resonator. From 2.54, it is obvious that the bandwidth depends only on the
resonator stiffness, that is, the coupling location on the resonators and the coupling-
beam stiffness. The other two parameters are constants. If the coupling is at a low-
velocity location on the resonator, the effective mass increases and so is the effective
stiffness; consequently, the filter bandwidth decreases [59]. In order to achieve a
small bandwidth for the filter design, choosing the optimum coupling location plays
an important role. It is relatively easy to determine the coupling location in this type
of geometry due to the inherent symmetry of the ring structure where motionless
nodal points (i.e., low-velocity points) are easy to locate. Moreover, the motionless
nodal points do not change due to process variations as opposed to their clamped-
clamped beam counterparts [32,61].
The interface between the mechanical and electrostatic building blocks was
created in the electromechanics module of COMSOL. This model shows the
response of a filter under an applied electrostatic load and computed the defor-
mation of the ring due to the applied voltage. A bias voltage Vp ¼ 45 V was applied
to the ring structure via an anchor, as can be seen from the perspective view
schematic of a two flexural-mode ring resonator mechanical filter, along with the
bias, excitation, and sensing circuitry (Figure 2.28(a)). Figure 2.30 shows the
simulated displacement versus frequency for two different configurations, common
mode, and differential mode. The admittance plot was obtained as shown in
Figure 2.31, which shows the resonance of two coupled ring resonators. To flatten
–10.00
Normalized displacement (dB)
–30.00 Two-port
Differential
–50.00
–70.00
–90.00
–110.00
Suppression in spurious mode amplitude
–130.00
4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 5
Frequency (MHz)
Figure 2.30 Simulated spectra of ring microresonator filter under two different
driving and sensing schemes
60 MEMS resonator filters
1E-4
Admittance (S)
Admittance (S)
1E-5
1E-6
1E-6
1E-7
1E-7
1E-8
4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.2 4.3 4.4 4.5 4.6 4.7 4.8
Frequency (MHz) Frequency (MHz)
the filter passband in Figure 2.31, the quality factors of the end resonators should be
loaded via resistive termination with a value of RQ given by [32]
Qr Qr mr wo mr BW
RQ ¼ 1 Rx (2.55)
qQf qQf Qh2e qh2e
where Rx is the motional resistance of a constituent end ring resonator; Q is the
unloaded quality factor of the resonator; Q filter ¼ fo/BW, BW the filter bandwidth; q
the normalized parameter obtained from the reference [32]; mr the dynamic mass of
the ring resonator at its point of maximum displacement; and he the electro-
mechanical coupling factor. For our design, the analytically determined value of
the termination resistor is RQ 240 kW.
To attain low-loss capacitive resonators implemented as a filter, the con-
siderable Rx for such a capacitive device can be significantly reduced by choosing
appropriate values for the Q-factor, the electromechanical transduction factor, he,
and the capacitive gap, thus relaxing the requirement of the termination resistance
(RQ) for the impedance matching of a given filter.
2.6 Summary
In this chapter, we have explored the history of filter development in the beginning. In
general, classical filter, developments were along with the network theory. The filters
based on the RLC components have limitations and achieving desirable characteristics
is difficult largely because of its lower Q. The electromechanical components because
of their high Q and small size quickly replaced these components to give required
performance in the modern communication systems. The CMOS fabrication
technology-based resonators, which are typically addressed as MEMS resonators, are
showing promise for further development. The design of filters with MEMS requires
material selection, process development, and the determination of resonator physical
structure which is illustrated in this chapter with examples.
Filter design 61
References
[1] http://quadrivium.nl/history/history.html by Gerrit Groenewold.
[2] Pupin M.I. “Wave transmission over non-uniform cables and long-distance
air-lines.” Transactions of the American Institute of Electrical Engineers.
1900; 17: 245–307.
[3] Campbell G.A. “On loaded lines in telephonic transmission.” The London,
Edinburgh, and Dublin Philosophical Magazine and Journal of Science,
Series 6. 1903; 5(27): 313–30.
[4] Wagner K.W. Spulen- und Kondensatorleitungen. Archiv für Elektrotechnik.
1919; 8(2–3): 61–92.
[5] Campbell G.A. Electric wave-filter. U.S. Patent 1,227,113, July 15, 1915.
[6] Zobel O.J. “Theory and design of uniform and composite electric wave-
filters.” The Bell System Technical Journal. 1923; 2(1): 1–46.
[7] Cauer W. Siebschaltungen. Berlin: VDI; 1931
[8] Bowers J.L. R-C bandpass filter design. Electronics. 1947; 20(4):131–33,
[9] Sallen R.P., and Key E.L. “A practical method of designing RC active fil-
ters.” IRE Transactions-Circuit Theory. 1955; 2(1): 74–85.
[10] Nauta B., and Seevinck E. “Linear CMOS transconductance element for
VHF filters.” Electronics Letters. 1989; 25(7): 448–49.
[11] Zverev A.I. “The golden anniversary of electric wave filters.” IEEE
Spectrum. 1966; 3(3): 129–131.
[12] Cady W.G. Piezo electric resonator. U.S. Patent Office, Patent No.
1,450,246, 1923; Method of Maintaining Electric Currents of Constant
Frequency. U.S. Patent Office, Patent No. 1,472,583, 1923.
[13] Filler R.L., and Vig J.R. “Resonators for the microcomputer compensated
crystal oscillator.” in Proceedings of the 43rd Annual Symposium on
Frequency Control, 1989, pp. 8–15.
[14] Moore G.E. “Cramming more components onto integrated circuits,
Reprinted from Electronics, Vol. 38, no. 8, April 19, 1965, pp. 114 ff.” in
IEEE Solid-State Circuits Society Newsletter. 2006; 11(3): 33–35. DOI:
10.1109/N-SSC.2006.4785860
[15] Lord Rayleigh: “On waves propagating along the plane surface of an elastic
solid.” Proceedings of London Mathematical Society. 1885; 1–17(1): 4–11.
[16] White R.M., and Voltmer F. “Direct piezoelectric coupling to surface elastic
waves.” Applied Physics Letters. (1965); 7: 314. https://doi.org/10.1063/
1.1754276
[17] Pomeranz M. “Amplification of microwave phonons in germanium.”
Physics Review Letters. 1964; 13: 208, 385.
[18] Grudkowski T.W., Black J.F., Reeder T.M., Cullen D.E., and Wagner R.A.
“Fundamental-mode VHF/UHF miniature acoustic resonators and filters on
silicon.” Applied Physics Letters. 1980; 37(11): 993–95.
[19] Nakamura K., Sasaki H., and Shimizu H. “ZnO/SiO2-diaphragm composite
resonator on a silicon wafer.” Electronics Letters. 1981; 17(14); 507–09.
DOI: 10.1049/el:19810355
62 MEMS resonator filters
[20] Ketcham R.S., Kline G.R., and Lakin K.M. “Performance of TFR filters
under elevated power conditions.” Proceedings of the 42nd Annual
Frequency Control Symposium, Baltimore, MD, USA, 1988, pp. 106–11.
[21] “Simulation-Driven Optimization of 5G RF MEMS Filters.” White Paper,
July 2019. www.onscale.com
[22] Nguyen C.T.-C. “Vibrating RF MEMS overview: applications to wireless
communications.” Proceedings of Photonics West: MOEMS-MEMS 2005,
San Jose, California, January 22–27, 2005, Paper No. 5715-201.
[23] Young M. The Technical Writer’s Handbook. Mill Valley, CA: University
Science; 1989.
[24] Wong A.-C., Clark J.R., and Nguyen C.T.-C. “Anneal-activated, tunable,
65MHz micromechanical filters.” Digest of Technical Papers, 10th
International Conference on Solid-State Sensors and Actuators, Sendai,
Japan, June 7–10, 1999, pp. 1390–93.
[25] Wang K., and Nguyen C.T.-C. “High-order medium frequency micro-
mechanical electronic filters.” Journal of Microelectromechanical Systems.
1999; 8(4): 534–56. DOI: 10.1109/84.809070
[26] Greywall D.S., et al. “Coupled micromechanical drumhead resonators with
practical applications as electromechanical bandpass filters.” Journal of
Micromechanics and Microengineering. 2002; 12(6): 925–38.
[27] Wang J., Ren Z., and Nguyen C.T.-C. “Self-aligned 1.14-GHz vibrating
radial-mode disk resonators.” TRANSDUCERS’03 – 12th International
Conference on Solid-State Sensors, Actuators and Microsystems. Digest of
Technical Papers (Cat. No.03TH8664), vol.2. Boston, MA, USA, 2003,
pp. 947–950.
[28] Clark J.R., Hsu W.-T., and Nguyen C.T.-C. “High-Q VHF micromechanical
contour-mode disk resonators.” International Electron Devices Meeting
2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA,
USA, 2000, pp. 493–6. DOI: 10.1109/IEDM.2000.904363
[29] Abdelmoneum M.A., Demirci M.U., and Nguyen C.T.-C. “Stemless wine-
glass-mode disk micromechanical resonators.” The Sixteenth Annual
International Conference on Micro Electro Mechanical Systems, 2003.
MEMS-03 Kyoto. IEEE, Kyoto, Japan, 2003, pp. 698–701. DOI: 10.1109/
MEMSYS.2003.
1189845
[30] Li S., Lin Y., Ren Z., and Nguyen C.T.-C. “An MSI micromechanical
differential disk-array filter.” TRANSDUCERS 2007 – 2007 International
Solid-State Sensors, Actuators, and Microsystems Conference, Lyon, 2007,
pp. 307–11. DOI: 10.1109/SENSOR.2007.4300130
[31] Pachkawade V., Li C.-S., and Li S.-S. “A fully-differential CMOS-MEMS
resonator integrated with an on-chip amplifier.” IEEE SENSORS, Taipei,
Taiwan, October 28–31, 2012, pp. 1–4.
[32] Bannon Ill F.D., Clark 1. R., and Nguyen C.T.-C. “High-Q HF microelec-
nomechanical Filters.” IEEE Journal of Solid-Stare Circuits. 2000; 35(4):
512–26.
Filter design 63
[58] Adiga V.P., Sumant A.V., Suresh S., et al. “Temperature dependence of
mechanical stiffness and dissipation in ultra-nanocrystalline diamond.”
Proceedings of SPIE. 2009; 7318, 731–818.
[59] Shalaby M., Abdelmoneum M., and Saitou K. “Design of spring coupling for
high Q, high frequency MEMS filters.” ASME 2006 International
Mechanical Engineering Congress and Exposition Microelectromechanical
Systems. Chicago, Illinois, USA, November 5–10, 2006.
[60] Demirci M.U., and Nguyen C.T.-C. “A low impedance VHF micromechanical
filter using coupled-array composite resonators.” Digest of Technical Papers,
the 13th International Conference on Solid-State Sensors & Actuators
(Transducers’05). Seoul, Korea, June 5–9, 2005, pp. 2131–2134.
[61] Lopez J.L., Verd J., Uranga A., Giner J., Murillo G., and Torres F. “A
CMOS-MEMS RF-tunable bandpass filter based on two high-Q 22-MHz
polysilicon clamped-clamped beam resonators.” IEEE Electron Device
Letters. 2009; 30(7): 718–20.
[62] Li S.-S., Lin Y.-W., Ren Z., and Nguyen C.T.-C. “Disk-array design for
suppression of unwanted modes in micromechanical composite-array fil-
ters.” Technical Digest, 19th IEEE International Conference on Micro
Electro Mechanical Systems (MEMS’06). Istanbul, Turkey, January 22–26,
2006, pp. 866–69.
[63] Krauss A.R., Auciello O., Gruen, D.M., et al. “Ultra-nanocrystalline dia-
mond thin films for MEMS and moving mechanical assembly devices.”
Diamond and Related Materials. 2001; 10(11): 1952–61.
This page intentionally left blank
Chapter 3
Microelectromechanical resonators design:
low-frequency resonators
Amol Morankar1
3.1 Introduction
A majority of the current wireless communication systems used in radio frequency
(RF) and intermediate frequency (IF) applications utilize several discrete off-chip
resonant components, such as quartz-crystal metal packaged, quartz-crystal cera-
mic packaged, surface acoustic wave (SAW), and bulk acoustic wave (BAW)
resonator filters. These components have demonstrated excellent performance in
terms of quality factor (Q), dynamic range, thermal dependencies, larger tuning
range, and aging stability but are bigger in size, fabricated using ultrafine micro-
machining technique and are not CMOS process compatible. A major disadvantage
of these off-chip components is their high insertion loss that affects the perfor-
mance and increases power dissipation, especially when additional amplification
stages are required. Thus, it provides major interruption in the single-chip solution
of a transceiver for wireless communication systems. Many functions in the RF
frontends are passive and can be potentially replaced using RF MEMS devices
[1–5]. The ability to reconfigure operating characteristics in real-time may result in
a substantial reduction in the required number of discrete components for a given
set of the wireless communication system. Thus, it may allow a single hardware
component to emulate the behaviors of multiple discrete devices. Miniaturized
multiband transceivers are essential in various wireless communication systems
due to advancements in digital technology. Minimum insertion loss and power
dissipation of passive elements such as capacitors, inductors, filters, resonators, and
switches in RF transceiver are desirable. The ultimate power/bandwidth perfor-
mance of the transceiver depends on the substrate parasitic [6]. RF MEMS tech-
nology with its versatility may provide two key resources on which wireless
paradigm depends, that is, low power consumption and bandwidth. A large number
of low/high-frequency MEMS resonator technologies are under investigation for
efficient realization and replacement of quartz, SAW, and BAW.
1
Riva Labs, CIVN, Visvesvaraya National Institute of Technology, Nagpur, India
68 MEMS resonator filters
of MHz and larger Q with proper packaging. Thus, a large number of high-
frequency MEMS resonator technologies are under investigation for efficient
realization and replacement of quartz, SAW, and BAW [9].
MEMS resonators are based on a capacitive transduction mechanism that can be
made of integrated circuit compatible processes and materials. These capacitive reso-
nators can be embedded in a variety of integrated circuit technologies that result in a
higher level of integration and low-cost batch fabrication [10]. The most important
advantages of capacitive silicon resonators are: the resonance frequency can be slightly
tuned by changing the DC bias voltage that is required for their operation, post-
fabrication fine-tuning, and temperature compensation of the resonator. These reso-
nators are smaller in size, consume practically zero DC power, and have the capability
of frequency translation (mixing) and switching [10]. Various MEMS resonators based
on capacitive transduction mechanisms have been proposed in the literature bearing
different shapes, vibration modes, structural material, and fabrication processes.
Micromechanical resonators can have different shapes like beams, square plates, cir-
cular disks, annular rings, comb, etc., and can again be classified according to their
modes of operation, namely flexural, torsional, and bulk mode devices [11].
● Flexural mode of vibration is representative of transverse standing waves.
In such devices, the displacement of the structures is orthogonal to the bending
stress in the structure.
● In resonators vibrating in the torsional mode, the dominant stress is shear-stress
and the displacement produced is rotational.
● Bulk mode operation can be described in terms of standing longitudinal waves.
The majority of micromechanical circuits targeted for communication functions
can be realized using micromechanical flexural-mode beam elements, as shown in
Figure 3.1 with clamped-clamped (CC) boundary conditions [12]. This device con-
sists of a beam anchored at both ends and an electrode underlying its central locations.
Both the beam and electrode can be fabricated using materials, such as doped silicon,
polysilicon, or metal. Surface micromachining can be the preferred method for the
fabrication of micromechanical communication circuits since its ability to realize very
complex geometries with multiple levels of suspension [12]. Experimental results for
CC beam demonstrated high Q of 8,000 at frequency 8.5 MHz. Although high Q was
achieved, anchor losses increase at a frequency above 30 MHz and therefore reduces Q.
It was observed that a free-free beam can be explored for future RF MEMS
resonator due to its high Q and stiffness at higher frequencies. Also, it can provide
better power handling capability with an increase in the number of beams [13].
Vibrating micromechanical system manufactured in silicon technologies usually
employs a capacitive transduction mechanism that transforms the voltage to force
and displacement to charge variation at the output electrode. More importantly, the
efficiency of the transducer is decided by the gap between the transducer’s electro-
des. The transduction factor and the power efficiency of the transducer are inversely
proportional to the second power of the gap width value [14]. Thus, for the case of
the simple resonator (elementary second-order band-pass filter), the motional resis-
tance is inversely proportional to the fourth power of this parameter [14]. Ideally, the
value of the motional resistance should be low that determines the output signal level
and insertion loss; therefore, it is necessary to have a transduction gap in the range of
a few 100 nm. Practically, it is required to design a resonator with a lower motional
resistance that is suitable for use as a filter in electronic signal processing circuits.
The gap value should be less than 500 nm, which are often below the lithography
resolution of conventional MEMS fabrication processes [14]. VHF 10 MHz micro-
mechanical resonators fabricated in a thick-layer epipoly technology with an original
postfabrication gap reducing method was demonstrated in [14]. Experimental results
have proved the effectiveness of the method for gap adjustment. Thus, it demon-
strates the possibility of designing micromechanical VHF filters in thick-layer silicon
technology and the narrow gap that does not depend upon lithography resolution.
Electrostatic motor, operating at only 30 V DC without any current consumption was
utilized for gap reduction. The higher transmission was achieved with a lower
transduction gap of 200 nm that experimentally validates the applicability of MEMS
resonator for IF filter applications in wireless communication devices. Figure 3.5
shows the SEM image of the above-mentioned filter.
Laterally vibrating free-free beam micromechanical resonator was demon-
strated in [15] that utilize the second mode flexural supports and optimal dc-bias
application to suppress anchor dissipation and thereby attain Q’s greater than
10,000 at 10.47 MHz. To suppress energy losses from the free-free beam to the
anchors, the support beams were actually designed in such a way that two support beams
were attached on opposite sides of the free-free beam as shown in Figure 3.6 [15]. The
support beam from anchor to anchor was designed to vibrate in the second mode while a
72 MEMS resonator filters
Figure 3.5 SEM image of resonator with gap reduction electrostatic motor [14]
free-free beam to vibrate in fundamental mode frequency. It was observed that the reso-
nance frequency and Q of this resonator were found to be sensitive to errors in support
beam length. The use of metal electrodes in the capacitive transducers was found to
be unfavorable due to the thermal expansion of the electrodes that made electrical stiffness
a function of temperature. The thermal stability of –5 ppm/oC was measured [15].
Mechanically coupled array resonators were proposed in [16] for higher power
handling capability and improved phase noise. A free-free beam resonator array was
selected to validate the proposed concept. Figure 3.7 shows the SEM image of the
fabricated devices. Although better power handling capability was demonstrated it did
not produce the required phase noise that would be suitable for oscillators in GSM.
High-order micromechanical filters comprised of 3 to 4 mechanically coupled
resonators, bridging between nonadjacent resonators was demonstrated using a
polysilicon surface micromachining technology [17]. Sharper pass band to stop
band roll-offs, larger stop band rejections, smaller filter shape factors, and very low
insertion loss with more than 50 dB of stopband rejection was achieved. Bridging
Microelectromechanical resonators design: low-frequency resonators 73
filter design techniques are expected to greatly benefit future communication sys-
tems. Figure 3.8 shows the FESEM image of the bridged micromechanical filter.
A square shape single crystal silicon micromechanical resonator with a quality
factor of larger than one million and a resonant frequency of 2.18 MHz was
demonstrated [18]. The resonator was excited in the square extensional bulk
acoustic mode at 4 m, and it was fabricated in a foundry SOI MEMS process.
Figure 3.9 shows the schematic of the square resonator.
A laterally vibrating radial contour mode micromechanical disk resonator was
presented with a measured frequency and Q of 156 MHz and 9,400, respectively
[19–21]. It combines polysilicon surface micromachining and metal electroplating
to attain submicron lateral capacitive gaps. The geometric dimensions necessary to
reach a given frequency are larger for this contour mode than for the flexural modes
used by previous resonators. Thus, it makes this disk resonator a better candidate
for IF and RF filter application stages in future miniaturized transceivers.
Figure 3.10 shows the SEM image of the disk resonator.
– +
Motional
current
Figure 3.14 SEM image of the free-free beam resonator array [30]
76 MEMS resonator filters
element in order to set the frequency separation of both mechanical modes and
therefore the bandwidth of the filter. The fabricated band-pass filter has shown very
low passband distortion (less than 0.1 dB) without using any specific resistive
termination, a central frequency of 26.7 MHz, and a bandwidth of 120 kHz (with a
bias voltage of 18 Vdc) [32]. Novel filter coupling scheme that combines the merits
of mechanically and electrically coupled methods to enable a well-defined narrow
bandwidth and a decent stopband rejection in a CMOS-MEMS band-pass filter was
proposed in [33]. Design strategies, such as oxide-rich structure, free-free beam
arrayed design, and deep submicrometer transducer’s gap result in featuring small
motional impedance, low insertion loss, bandwidth control, and greater stopband
rejection. Figure 3.15 shows the SEM image of the proposed free-free beam array
resonator. Narrowband filter centered at 8.58 MHz with the bandwidth of 35.1 kHz
and insertion loss of 17.4 dB was successfully demonstrated.
Dynamic behavior of an electrically actuated CC shallow arch to realize
band-pass filters were investigated in [34]. Simulation results were shown
demonstrating various scenarios of dynamic snap-through motion near the first and
the third natural frequencies. Results could be also promising to build a band-pass
filter with a sharp roll-off from the pass-band to stop-band, a flat bandwidth, and a
high center frequency. This kind of filter is very simple, easy to fabricate, and small
in size compared to other MEMS filters. Resonators based on the mechanical
coupling of two or more vibrating microstructures usually suffer from mistuning
problems and challenges in fabrication. The damping ratio can be used to control
the bandwidth and the center frequency of such a filter [34]. Linear, undamped, and
unforced vibration problem of micromechanical filters and closed-form expressions
for their natural frequencies and mode shapes were obtained in [35]. The model
described in this work treats the filter as a distributed-parameter system. For a
micromechanical filter made of two CC beam resonators connected via a coupling
beam, it was solved using boundary-value problem (BVP) composed of five
equations and 20 boundary conditions for its natural frequencies and mode shapes.
Due to the fact that the coupling beam is weak, the natural frequencies of the single
resonator are split into two close frequencies for the filter: one frequency corre-
sponds to an in-phase mode and the other corresponds to an out-of-phase mode.
The bandwidth is very sensitive to the coupling location, whereas the center fre-
quency is insensitive [35]. Finally, the design and characterization of a dual-
frequency oscillator that consists of a reliable seesaw-shaped tungsten resonator
integrated with the back end of a line of a standard 0.35-mm complementary metal-
oxide-semiconductor (CMOS) technology [36,37] was demonstrated. The seesaw-
shaped tungsten resonator built in a reliable and robust VIA3 platform with
negligible curling or residual stress is electrostatically actuated applying an AC
voltage to the driving electrode plus a DC bias voltage to the resonator. These vol-
tages combine to produce a force that can excite two mechanical modes, the torsional
(TM) and the vertical mode (VM) with natural frequencies of 553 and 906 kHz,
respectively. Figure 3.16 shows the FESEM image of the seesaw resonator.
A large number of MEMS resonators are required if a wide range of operating
frequencies need to be incorporated into the system. Quartz crystal and SAW
resonators are unable to provide a feasible solution owing to limited maximum
operating frequencies and large size. Recently aluminum nitride contour mode
resonator has demonstrated multifrequency operating characteristics on a single
silicon chip. These resonators have demonstrated excellent filter characteristics, but
spurious modes are a major bottleneck obstructing the deployment of single-chip
multifrequency resonators as a commercially viable solution for radiofrequency
front-end filtering. MEMS resonators based on the capacitive transduction
mechanism that can be fabricated using CMOS compatible process and materials
result in a higher level of integration and low-cost batch fabrication. The most
important advantages of capacitive silicon resonators are the resonance frequency
can be slightly tuned by changing the DC bias voltage that is required for their
operation, postfabrication fine-tuning and temperature compensation of the reso-
nator. These resonators are smaller in size, consume practically zero DC power and
have the capability of frequency translation (mixing) and switching. Capacitive
resonators with frequencies in the very high frequency (VHF) and ultra-high
frequency (UHF) range have impedances on the order of tens or hundreds of kilo-
ohms. To achieve low motional impedance of the capacitive resonators, the combina-
tion of large transduction area and the tiny electrode-to-resonator gap is preferred.
MEMS resonators have also recently emerged as a compelling solution for the
implementation of multifrequency resonators on the same substrate, owing to their
high f • Q product and the fact that their center frequencies can be lithographically
defined. These MEMS vibrating structures can be used according to their opera-
tional resonance modes which depend on the frequency range of applications. The
power handling capability is limited by the small size single beam resonators,
therefore, resonator array is preferred. The advantages of the resonator array design
are large transduction area and higher power handling capability. A large trans-
duction area effectively reduces the required DC-bias voltage and motional impe-
dance. The band-pass filters are often realized through several coupling approaches
such as electric and mechanical coupling. The mechanical coupling enables the
identification of the desired bandwidth through the physical dimension and position
of the coupling beam. Electrical coupling lessens the parasitic capacitance that
appears across the input and output ports that dominate the electrical measurements
in capacitive transduced resonators.
MEMS resonators compatible with the CMOS process are mostly made of
metal structures bearing low Q and poor temperature stability. To address thermal
stability of MEMS resonators based on capacitive transduction mechanism passive
temperature compensation techniques, such as the stress-induced frequency com-
pensation [38], composite structures consisting of silicon dioxide [39], and degen-
erate doping [40], without consuming excessive power [41] or requiring complicated
active compensation parabolic-type control circuits [42,43] are demonstrated.
Composite structures consisting of silicon dioxide have been recently used for tem-
perature compensation since it is easy to process and has a temperature coefficient of
expansion (TCE) of opposite sign as compared to most other materials commonly
used in MEMS. However, the design and simulation of complicated composite
structures to achieve a low-temperature coefficient of frequency (TCF) may be
computationally expensive and time-consuming. Therefore, indeed it is necessary to
address the issues of suppression of spurious modes, multifrequency operation, nar-
row bandpass, low insertion loss, better stopband rejection, thermal stability, and
CMOS process compatibility. Two-port electrical configurations, coupling schemes,
and array structure are the keys to address low insertion loss, better stopband rejec-
tion, and narrow bandpass. MEMS resonators can be enabled for filter characteristics
through a combination of larger transduction area, small transduction gap, electro-
static actuation, and low loss material. RF MEMS resonator finds applications in the
implementations of the following circuits:
● Timing reference in oscillators,
● trans-impedance amplifier,
● Pierce oscillator, and
● Band-pass filters using either mechanical or electrical or both coupling
schemes.
of these windings are therefore of different lengths. Two permanent magnets are
placed on the side of the plate, such that the magnetic field lines are parallel to the
plane and orthogonal to the torsional hinges. When current passes through the coils,
Lorentz forces will develop and cause rotational torque on the plate. The direction
of the torque depends on the direction of input currents. A MEMS magnetic
Actuator is a device that uses the MEMS process technology to convert an elec-
trical signal (current) into a mechanical output (displacement) by employing the
well-known Lorentz force equation or the theory of magnetism. When a current-
carrying conductor is placed in a static magnetic field, the field produced around
the conductor interacts with the static field to produce a force. This Force can be
used to cause the displacement of a mechanical structure.
Euler Bernoulli’s beam theory exactly predicts the frequency of the resonant modes
that completely depends on the material properties and beam dimensions. The
angular frequency of the nth resonant mode of a CC beam [44] is given as
sffiffiffiffiffiffi
p2 a2n EI
wn ¼ 2 (3.1)
L rA
where A is area, I is the moment of inertia, an is mode coefficient, L is the length of
the beam, E is Young’s modulus, and r is the density of the structural material,
respectively. The dimensions of the anchor structure are assumed to be much larger
than beam resonator and both are made of the same material. Beam theory can be
used to determine the vibration amplitude and frequency of a beam resonator since
zero displacements of the beam resonator at the clamped end can be assumed.
satisfy L=w > 10 and minimum manufacturable value. Characteristics of the flex-
ural mode CC beam resonator and ratios of the higher modes to the fundamental
mode fn =f1 are shown in Table 3.1.
Careful design of the micromechanical resonator device includes a selection of
geometrical parameters of CC beam and slim mechanical couplers. Desired fre-
quency characteristics and bandwidth of the resonator device can be addressed using
electrical coupling through precise placement of input-output electrodes and precise
placement of mechanical couplers at node points, respectively. A large transduction
area can be obtained through a mechanically coupled array structure consisting of
more than two CC beams and output electrodes. Thus, design ensures narrow pass-
band, better stopband rejection, desired bandwidth and suppressed spurious mode
associated with the complex design. Low insertion loss or motional resistance can be
addressed through a large transduction area and submicrometer transduction gap.
Clamped end
Clamped end
(a)
(b)
Figure 3.17 CC beam with node points [47]: (a) node points when operated in
mode 1 resonating frequency and (b) node points when operated in
mode 3 resonating frequency
Displacement 2.5
2
0
1.5 0
–2
1
y 0.5
x 0
0
Figure 3.18 CC beam with zero displacement (dark blue) when operated in
mode 3 [47]
Microelectromechanical resonators design: low-frequency resonators 83
CC beam
Io2 Io1
Vol Ii Vo2
Vac drive voltage
Output voltage Output voltage
Anchors R R
Output electrodes
Input electrodes
Figure 3.19 Electrical coupling scheme using two output electrodes and single
input electrode (not to scale) [47]
84 MEMS resonator filters
Io2 Io1
Vol Ii Vo2
Vac drive voltage
Output voltage Output voltage
Anchors R R
Output electrodes
Input electrodes
(a)
Io2 Io1
Vol Ii Vo2
Vac drive voltage
Output voltage Output voltage
R R
Anchors
Output electrodes
Input electrodes
(c)
Io2 Io1
Vol Ii Vac drive voltage Vo2
Output voltage Output voltage
Anchors R R
Output electrodes
Input electrodes
(d)
Figure 3.20 Description of the in-phase and out-of-phase mode (not to scale)
[47]: (a) mode 1, (b) mode 2, (c) mode 3, (d) mode 4, (e) currents at
mode 1 and 3, and (f) currents at mode 2 and 4
Microelectromechanical resonators design: low-frequency resonators 85
Input current Ii
1
0
Ii
–1
0 500 1,000 1,500 2,000 2,500 3,000
Output current Io1
1
I01
–1
0 500 1,000 1,500 2,000 2,500 3,000
–1
0 500 1,000 1,500 2,000 2,500 3,000
(e)
Input current Ii
1
0
Ii
–1
0 500 1,000 1,500 2,000 2,500 3,000
Input current Io1
1
I01
–1
0 500 1,000 1,500 2,000 2,500 3,000
–1
0 500 1,000 1,500 2,000 2,500 3,000
(f)
CC beams cannot expand in length. Let us consider when the CC beam is subjected
to a uniform rise in temperature (DT). This temperature change generates internal
load and if temperature attains a value of first critical temperature and the first
critical buckling load is achieved the structure suffers a transversal displacement.
Thus, the frequency values of a given vibration mode decrease until the buckling in
that mode is achieved. Practically, CC beams in an array experience temperature
variation due to heating. That results in changes in vibration shapes and natural
frequencies due to compressive thermal loads and expansion for each CC beam.
To address the thermal stability of MEMS resonators based on capacitive trans-
duction, passive temperature compensation techniques, such as the stress-induced
frequency compensation, composite structures consisting of silicon dioxide, and
degenerate doping, without consuming excessive power or requiring complicated
active compensation, parabolic-type control circuits are demonstrated. Suppression of
spurious responses due to change in temperature of the beam is desirable to achieve
single-chip and single/dual-band frequency response solutions. Therefore, it is neces-
sary to provide temperature compensation with the help of stiff mechanical couplers.
Temperature compensation in metal MEMS resonator can be explored using a mixed
mechanical and electrical coupling scheme. Mechanical coupler forces all the beams to
vibrate at uniform resonant frequencies. Whereas placement of mechanical couplers at
low and high-velocity points ensures minimum variations in mode shapes irrespective
of the variations in temperature of CC beams. These coupler forces all the beams
to vibrate at uniform resonant frequencies irrespective of the variations in tem-
perature of CC beams. It facilitates to suppress generation of spurious modes due
to heating. Thus, the placement of mechanical couplers at low and high-velocity
points ensures minimum variations in mode shapes and frequencies. Better stop-
band rejection can be achieved through electrical coupling. It achieves nonaddition
of unwanted and spurious responses and also reduces parasitic capacitance across
the input-output ports [12,49]. Figure 3.21 and 3.22 show the COMSOL simulated
–2
–2
0 0
0 0
Output electrodes Output electrodes
(a) (b)
–2
–2
0 0 0 0
Output electrodes Output electrodes
(c) (d)
3.5 Summary
References
[1] Basu J., and Bhattacharyya T.K. “Microelectromechanical resonators for
radio frequency communication applications.” Microsystem Technologies.
2011;17(10–11):1557–80.
[2] Héctor J., and Santos D.L. RF MEMS Circuit Design for Wireless
Communications. Artech House; 2002.
[3] Hilbert J.L. “RF-MEMS for wireless communications.” IEEE Communications
Magazine. 2008; 46(8): 68–74.
[4] Randy J.R., Héctor J., and Santos D.L. “MEMS for RF/microwave wireless
applications: The next wave.” Microwave Journal. 2001.
[5] Helfenstein M., and Moschytz G.S. Circuits and Systems for Wireless
Communications. Kluwer Academic, 2002.
[6] Nguyen C.T.C., Katehi L.P.B., and Rebeiz G.M. “Micromachined devices
for wireless communications.” Proceedings of IEEE. 1998;86(8): 1756–68.
[7] Nguyen C.T.-C. “RF MEMS for wireless applications.” Conference Digest,
Device Research Conference. Santa Barbara, California, June 24–26, 2002,
9–12.
[8] Zou Q., Bi F., Tsuzuki G., Bradley P., and Ruby R. “Temperature-
compensated FBAR duplexer for band 13.” In: Proceeding of IEEE International
Ultrasonics Symposium (IUS), Prague, Czech Republic, 2013, 236–38.
[9] Yen T.T., Pisano A.P., and Nguyen C.T.C. “High-Q capacitive-piezoelectric ALN
lamb wave resonators.” In: IEEE International Conference on MEMS, 2013.
[10] Bannon F.D., Clark J.R., and Nguyen C.T.C. “High-Q HF microelec-
tromechanical filters.” IEEE Journal of Solid-State Circuits. 2000;35(4):512–26.
[11] Chandorkar S.A., Agarwal M., Melamud R., Candler R.N., Goodson K.E.,
and Kenny T.W. “Limits of quality factor in bulk-mode micromechanical
resonators.” In Proceedings of the 21st IEEE International Conference on
MicroElectroMechanical Systems, Tucson, Arizona, 2008, 74–77.
Microelectromechanical resonators design: low-frequency resonators 89
[25] Lin Y.W., Lee S., Li S.S., Xie Y., Ren Z., and Nguyen C.T.C. “Series
resonant VHF micromechanical resonator reference oscillators.” IEEE
Journal of Solid-State Circuits. 2004;39(12); 247– 91.
[26] Lin Y.W., Lee S., Li S.S., Xie Y., Ren Z., and Nguyen C.T.C. “60-MHz
wine glass micromechanical disk reference oscillator.” In: IEEE
International Solid-State Circuits Conference, San Francisco, California,
February 2004, 322–23.
[27] Lee S., and Nguyen C.T.C. “Phase noise amplitude dependence in self-
limiting wine-glass disk oscillators.” Technical Digest, 2002 Solid-State
Sensor, Actuator, and Microsystems Workshop, Hilton Head Island, South
Carolina, June 6–10, 2004, 33–36.
[28] Lin Y.W., Li S.S., Ren Z., and Nguyen C.T.C. “Low phase noise array-
composite micromechanical wine-glass disk oscillator.” Technical Digest,
IEEE International Electron Devices Meeting, Washington, DC, December
5–7, 2005, 287–90.
[29] Lin Y.W., Hung L.W., Li S.S., Ren Z., and Nguyen C.T.C. “Quality factor
boosting via mechanically-coupled arraying.” Digest of Technical Papers,
the 14th International Conference on Solid-State Sensors & Actuators
(Transducers’07), Lyon, France June 11–14, 2007, 2453–56.
[30] Li M.-H., Chen W.-C., and Li S.-S. “Mechanically coupled CMOS-MEMS
free-free beam resonator arrays with enhanced power handling capability.”
IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control.
2012; 59(3); 346–57.
[31] Paci D., Mastrangeli M., Nannini A., and Pieri F. “Modeling and characteriza-
tion of three kinds of MEMS resonators fabricated with a thick polysilicon
technology.” Analog Integrated Circuits Signal Processing. 2006; 48: 41–47.
[32] Giner J., Uranga A., Torres F., Marigo E., and Barniol N. “Fully CMOS
integrated bandpass filter based on the mechanical coupling of two RF
MEMS resonators.” IEEE Electronics Letters. 2010; 46(9).
[33] Chen C.-Y., Li M.-H., Chin C.-H., and Li S.-S. “Implementation of a
CMOS-MEMS filter through a mixed electrical and mechanical coupling
scheme.” Journal of Microelectromechanical Systems. 2016; 25(2): 262–74.
[34] Ouakad H.M. “An electrostatically actuated MEMS arch band-pass filter.”
Hindawi Journal of Shock & Vibration. 2013; 809–819.
[35] Hammad B.K. “Natural frequencies and mode shapes of mechanically cou-
pled microbeam resonators with an application to micromechanical filters.”
Hindawi Journal of Shock & Vibration. 2014.
[36] Riverola M., Sobreviela G., Torres F., Uranga A., and Barniol N. “Single-
resonator dual-frequency BEOL-embedded CMOS-MEMS oscillator with
low-power and ultra-compact TIA core.” IEEE Electron Device Letters.
2017;38(2): 273–76.
[37] Riverola M., Sobreviela G., Uranga A., and Barniol N. “Intrinsic feed
through current cancellation in a seesaw CMOS-MEMS resonator for inte-
grated oscillators.” In: IEEE International Frequency Control Symposium,
2016, 1–4.
Microelectromechanical resonators design: low-frequency resonators 91
4.1 Introduction
Electronics have become an essential part of human life. Sir Nikola Tesla said it,
science proved it, it is a known fact that everything including our own bodies is made
up of energy vibrating at different frequencies. The conventional microelectro-
mechanical systems (MEMS) technology converts energy from mechanical to the
electrical domain or vice versa—sensors and actuators play an irreplaceable role in our
modern life and are offered by many suppliers. In contrast to their unique function, radio
frequency microelectromechanical systems (RF MEMS) process electrical signals
using mechanically vibrating structure and have replaced on-chip electrical RF devices
to provide frequency control functions due to their extraordinary performance compared
to on-chip electrical counterparts. Frequency selective elements such as resonators are
being increasingly employed in applications related to timing and frequency control,
and as building blocks in micro/nanofabricated oscillators and/or filters. With small
size, high performance, and complementary metal-oxide-semiconductor (CMOS)
compatibility, RF MEMS resonator offer promising technology in contemporary RF
front-end in wireless communication systems.
Many wireless communication systems develop a certain degree of miniaturiza-
tion. On one side, miniaturization is making the system lighter and more efficient,
while the growing IC manufacturing technology can produce large quantities of small
product at a lower cost. The term RF MEMS refers to electronic devices with a
moving submillimeter-sized part which provides RF functionality [1]. RF MEMS
provides a class of new devices and components that display superior high-frequency
performance relative to conventional semiconductor devices. A key advantage of the
RF MEMS devices compared to semiconductor counterparts is the electromechanical
isolation, means the RF circuit does not couple significantly to the actuation circuit.
Lower power consumption is another advantage. However, the low switching speed is
a serious drawback of RF MEMS devices [2]. Wireless communication technologies
such as CDMA (code division multiple access), GSM (global system for mobile
1
Department of Electronics and Communication Engineering, Shri Ramdeobaba College of Engineering
and Management, Nagpur, India
2
Department of Electronics Engineering, Shri Ramdeobaba College of Engineering and Management,
Nagpur, India
94 MEMS resonator filters
The piezoelectric resonators have lower motional resistance and higher power
handling capabilities and are capable of generating acoustic waves compared
to capacitive actuation [5,6]. The three primary piezoelectric materials used in
piezoelectric MEMS devices for various applications are zinc oxide (ZnO),
aluminum nitride (AlN), and lead zirconium titanate (PZT). The highest piezo-
electric constant and electromechanical coupling coefficient, as well as higher
acoustic wave attenuation and lower sound velocities, are reported in PZT.
However, poor stability and piezoelectric properties affected by operating tem-
perature and less mechanical deformation for a long duration due to brittleness
are the major issues of PZT. Due to the presence of lead, PZT is restricted in
medical electronics and other applications. AlN films have higher phase velocity
and chemical stability and have lower piezoelectric coupling. It is more difficult
to deposit also [7,8].
This chapter focuses on the details of laterally vibrating one port contour mode
ZnO MEMS resonator. The design of resonator using piezoelectric ZnO thin film
has been employed due to its better coupling coefficient, low deposition tempera-
ture, excellent bonding, unique semiconducting, and optical properties [9,10].
Among all piezoelectric materials, zinc oxide is considered as a pollution-free
green piezoelectric material. The ZnO is highly tensile and may undergo huge
mechanical deformation for a long duration unaffected by temperature variation.
The ZnO thin film can be deposited at room temperature and a variety of acidic
etchants are also available [11,12]. A low toxic, biocompatible/biodegradable, and
the stable material property of ZnO may deploy the resonator for medical appli-
cation. The device design and analysis are presented with FEM-based software
COMSOLTM Multiphysics.
Category Application
Inertia sensors Accelerometer (airbag) and gyroscopes
Pressure sensors Blood pressure, car tire pressure, microphone, and IOP
BioMEMS/microfluidics Micro-bio-analysis, DNA chips, and inkjet printer head
Optical MEMS Optical fiber switch and adaptive optics
RF MEMS Switches, antenna, filter/oscillator, and high Q inductor
Energy and Power MEMS Energy harvester and high power switches
Force Displacement
Input Output
Input Vibrating Output
transducer transducer
voltage (Vi) resonator current (Io)
(a)
Vo
Vi
Rm Cm Lm
1 2
(b) i
High Q
freq
Figure 4.1 (a) Block diagram of a MEMS resonator and (b) a general electric
model for a MEMS resonator [18]
value closer to quartz in vacuum as well as in air operating at VHF, UHF, and RF
frequency range. MEMS resonators consume less power and having excellent
CMOS compatibility with better temperature stability. In addition, these resonators
are robust to shock and vibration. Due to the fact that mechanical resonators have a
much high Q than their electrical counterparts, they have become alternative com-
ponents to quartz crystals for modern communication applications [3,16,19–22]. The
comparison of a SiTime MEMS resonator with respect to the quartz resonator is
given in Table 4.2.
Year Development
1965 Westinghouse research labs developed resonant gate transistor
1960s Development of piezoelectric-on-silicon resonators
1970s Continued development of silicon micromachining
1982 Kurt Petersen’s paper in proceedings of the IEEE
1984 Polysilicon surface micromachining
1985 Review literature on resonant silicon sensors by Langdon (GEC-Marconi)
1988 First resonant gyroscopes were discussed
1989 Comb drive resonator
1990s Efforts on vacuum encapsulation and temperature compensation initiated
1994 First fully integrated CMOS-MEMS oscillator
1994 Agilent develops film bulk acoustic resonators (FBAR)
1998 Review paper on surface micromachining in Proceedings of the IEEE
1998 Bosch developed a micromachined gyroscope
2000 Polysilicon bulk mode resonators
2001 Formation of first start-up commercializing silicon MEMS resonator technology
2002 Analog devices introduced first commercial integrated MEMS gyroscope
2000s Development of epi-poly vacuum encapsulation technology by Bosch/Stanford
2004 First GSM specification MEMS oscillator
2004 VTT paper on nonlinear effects of silicon MEMS resonators
2004 Formation of SiTime with a license from Bosch on vacuum encapsulation
technology
2005 Development of AlN resonator technology
2000s Efforts on passive and active temperature compensation of MEMS resonators
2007 Review paper on MEMS for timing and frequency control
2010 Acquisition of Silicon Clocks by Silicon Labs
2012 Timing products were demonstrated by NXP Semiconductors
2012 Acquisition of VTI by Murata
2013 Acquisition of Discera by Micrel
2014 Acquisition of SiTime by MegaChips
2015 Acquisition of Sand9 by Analog Devices and SiT5022 by SiTime
2016 SiT8021mPower oscillator
2017 SiT1252 embedded MEMS resonator
2018 SiT5357 Elite PlatformTM Precision Super-TCXOs
Source: Seshia Ashwin A, Cambridge University (UK) Nano-Science Centre, Department of
Engineering, Power point presentation at IITB, India during GIAN workshop in 2016, www.sitime.com,
www.analog.com
Cantilever
gate electrode Drain
bias
voltage Output
load resistor
Oxide
Drain diffusion Output
Input
Channel signal
Polarization
voltage
Input
Vp
Silicon substrate force
Source plate
diffusion
Oxide
Figure 4.4 Mode shapes for bulk mode devices [3,26]. Reprinted with permission.
2008 IEEE
e
x V
d
dv d2x
F¼m ¼m 2 (4.1)
dt dt
Equation (4.1) is mathematically analogous to the constitutive equation of an
electric inductor:
di d2q
V ¼L ¼L 2 (4.2)
dt dt
In this analogy, the force, F plays the same role as the voltage V, the velocity v,
as the current i, and the displacement x as the charge q. The mass m in the
mechanical system corresponds to the inductance L in electrical circuits [16,27].
102 MEMS resonator filters
Electrostatically
On-chip actuated CMOS
multiple compatible
frequency
Figure 4.6 Comparison of piezo CMR with other resonator types [4]
required in beam resonators to be operated in the UHF range has been overcome by
disk resonators. The disk resonators could reach the frequency in the UHF band
without shrinking in size due to its high spring constant. Since disk resonators are
much larger than beam type, they are much more robust [3].
The performance of MEMS resonators has been continuously improved. The f-Q
products demonstrated from in-plane mode electrostatically transduced resonators
have exceeded that of some best quartz crystal resonators. However, the motional
resistance of these devices is still in the order of 100 KW, complicating the interfacing
of the resonators with RF front-end. The objective of this research is to develop
MEMS resonator featuring low motional resistance (Rm) with the high f-Q product.
The piezoelectric transduction with contour mode resonator (CMR) technology
has proved as one of the most promising techniques for multiple frequency devices
fabricated on the single chip with high Q and low Rm [4,30,39–41]. With the
piezoelectric material, the fundamental frequency is defined by the in-plane
dimensions, then the resonator is said to be contour mode. CMR is the combination of
many important features which existing resonators have. In contour mode, the
vibrations of the device are parallel to the major surfaces. If the structure vibrates
along its length, called length-extensional mode (LEM) and if the structure vibrates
along with its thickness, called thickness extensional mode (TEM) [42]. Figure 4.6
shows the comparison of piezoelectric contour mode resonator with other resonators.
sensors industries have been undertaking during the past few years. The kind of
acoustic resonators is microelectromechanical devices. They experience acoustic
wave propagation and eventually vibrate at a resonance frequency related to their
dimensions and mechanical configuration when driven with the appropriate condi-
tions. They are classified into two categories: surface acoustic wave (SAW) and bulk
acoustic wave (BAW) resonators. Two types of the latter are found: the solidly
mounted resonator (SMR) and the thin-film bulk acoustic wave resonator (FBAR).
SAW, SMR, and FBAR devices can be fabricated within standard IC technologies.
On the other hand, FBARs resonate at far-from-fundamental acoustic modes, instead
of purely mechanical modes [28].
Wave propagation
Particle
motion
Wave propagation
Figure 4.7 The differences between Rayleigh waves, Love waves, longitudinal,
and shear acoustic waves: (a) Rayleigh waves, (b) Love waves, (c)
longitudinal mode waves, and (d) shear or transverse mode waves [28]
Love waves travel faster than Rayleigh waves. The particle motion of a Love
wave, depicted in Figure 4.7(b), forms a horizontal line perpendicular to the direction of
propagation, creating horizontally polarized shear waves (SH waves). Moving deeper
into the material, motion alternately increases and decreases as one examines deeper
layers of particles. The amplitude, or maximum particle motion, decreases rapidly with
depth, and it decays with the square root of the distance traveled by the wave [28].
Bulk acoustic waves are longitudinal, shear-mode, or a combination of both.
Longitudinal waves travel through the medium parallel to the same axis of the
oscillations or vibrations of the particles in the medium, that is, in the same or
opposite direction as the motion of the wave as shown in Figure 4.7(c).
A transverse or shear-mode wave propagates and transfers its energy in the
direction perpendicular to the oscillations occurring in the medium. If the shear
wave moves in the positive x-direction, for example, particles in the medium
oscillate in the y-z plane, as represented in Figure 4.7(d). Shear-mode resonance
occurs at longer wavelengths than longitudinal-mode vibrations [28].
Acoustic resonators are microelectromechanical devices exerting acoustic
wave propagation and vibrating at a resonance frequency of interest. In some sense,
the resonator behaves like an acoustic cavity trapping the wave in the medium.
To do that, transmission and reflection of the wave are promoted by the appropriate
106 MEMS resonator filters
Electrodes
Propagation Propagation
(a) (b)
Piezoelectric
Propagation Propagation
Wave profile
(c) (d)
means, such as electrodes and acoustic layers functionally designed of the type and
frequency of the acoustic wave. The amplitude of the wave is maximum when the
transmitted and reflected waves have l, l/2, or l/4 phase shifting, according to the
separation of the electrodes, dimensions of the acoustic layer, and acoustic mode. In
longitudinal waves, wavelength l is propagating along with the bulk of a l/2-long
resonant cavity. The transmitted and reflected waves are in-phase, henceforth, the
interference between them reinforces the energy inside the cavity. If the incident and
reflected waves are out of phase, they are suppressed after destructive interference.
This happens not only for the fundamental wavelength l but also for the shorter waves
of wavelength l/n equal to an entire fraction n of the fundamental wavelength l [28].
The sequence of Figure 4.8(a)–(d) depicts the propagation of longitudinal
modes through the l/2-long resonator. Silicon and other materials have been used
to manufacture acoustic resonators. But, for the high-frequency requirements, and
the need for miniaturization, the thin-film piezoelectric technologies are
suitable for new generation thin-film acoustic wave resonators. For these require-
ments, new fabrication techniques and materials were introduced. Thin-film alu-
minum nitride (AlN) and zinc oxide (ZnO) became the standard for the new kind of
SAW and BAW resonators [28].
A crystal acquires the charge when being compressed, twisted, or distorted and is
said to be piezoelectric. Piezoelectricity—a find from the Greek word “piezein,”
meaning “to press.” Piezoelectricity is the property of a material to deform after
Microelectromechanical resonator design for high frequency 107
Si ¼ SijE Tj þ dli El
(4.3)
Dm ¼ eTmn En þ dmk Tk
For i, j, k ¼ 1,2 . . . 6 and l, m, n ¼ 1,2,3, where T is applied mechanical stress,
E is the applied electric field, d is a stain, eTmn is the permittivity under constant
stress, D is electric displacement, Si is the mechanical strain, d is piezoelectric
coefficient, and SijE is the compliance tensor under constant E [9,43].
Piezoelectric transduction requires metal electrodes directly on the thin film layer
to apply an electric field. Several piezo coefficients available (d31(e31), d33 (e33), d15
(e15)) can be exploited to excite the desired mode of vibration (Figure 4.10). Flexural,
contour-mode, thickness-extensional and shear resonators have been reported. Piezo
generates a body force. Piezoelectric coupling is strong and provides for effectively
large kt2 (electromechanical coupling coefficient) in general at least one order of
magnitude greater than other transduction mechanisms. Quartz has low coupling but
characterized by high Q. Shear MEMS resonators approaching GHz have shown the
f-Q product of 1 1013. Lateral, in-plane vibrations can be excited in piezoelectric
films at the high frequency. The high-quality factor over the entire frequency range
has also been demonstrated with low impedance value [43].
Z(3)
Piezoelectric charge S22 = d31E3
Compliance coefficient X(1)
T
S = sT+d E
E3
D = d T + E 0 0 0 0 d15 0
Electric [diJ]T 0 0 0 d15 0 0
Stress Electric field
displacement
d31 d31 d33 0 0 0
+ +
F L T Q L T Q
– –
+ +
W P W P
– –
F F
L
Q(V = 0) = Fd33 Q(V = 0) = – Fd31
T
F
F
+ +
L T Q L T Q
– –
+ P + P
W – W –
F F
L
Q(V = 0) = Fd15 Q(V = 0) = – Fd15
T
Figure 4.10 A piezoelectric slab with different piezoelectric actuation modes d33,
d31, and d15 actuation with different pole and force direction [44]
associated with different piezoelectric vibration modes. Researchers have been fab-
ricated the devices based on these modes of oscillation and their required application.
v v
+ – + – + – + – + – + – +
exponentially with depth into the substrate. They can be confined by reflector
structures or gratings at either end of the IDT array and form standing waves.
Besides, SAW can couple with any media in contact with the surface and is
therefore widely used in nondestructive defect testing. One of the earliest suc-
cessful applications was the intermediate frequency (IF) band-pass filter for tele-
vision receivers. Single crystalline quartz, lithium niobate (LiNbO3), and lithium
tantalite (LiTaO3) are commonly used substrate materials for SAW devices [46].
The frequency of SAW devices is determined by the IDT electrode pitch which
in turn is limited by the resolution of the lithography tool. For higher frequency
applications, substrates with higher acoustic velocity are necessary. SAW resona-
tors have been a commercial used for radiofrequency applications, especially for
filter and oscillator implementations. Their impact has made possible considerable
reductions in the size and power of the chipsets of mobile devices. More modest,
but also important, has been the impact of SAW resonators in the mass detector and
pressure sensor devices, with application in bioparticle detection [28].
SAW devices is their physical layout. In BAW, the acoustic layer is a component ele-
ment of a stacked structure in which the acoustic wave is confined [28] (Figure 4.12).
A couple of metal layers acting as electrodes complete the structure of BAW
resonators. Therefore, the BAW device is fabricated on top of a carrying substrate,
typically silicon, and the acoustic layer and electrodes are located on top of said
substrate. In SAW, the acoustic layer may be the carrying substrate by itself, and
the IDT electrodes are located on top of it, both in the same plane. Typical
operation frequencies for SAW range from 30 MHz to 1 GHz, while the center
frequency of BAW can be found in the 1 to 10 GHz band. The resonance frequency
of a BAW resonator operating in fundamental, longitudinal mode is mainly deter-
mined by the thickness t of the acoustic layer [28].
BAW resonators are classified as film bulk acoustic resonator (FBAR) and
solidly mounted resonator (SMR).
4.9.2.1 FBAR
FBAR is a device consisting of a piezoelectric material sandwiched between two
electrodes and acoustically isolated from the surrounding medium. AlN and ZnO
are two common piezoelectric materials used in FBARs. Micromachining is needed
to release the FBAR, thus providing acoustical isolation between the device and the
substrate in order to achieve high-quality factors. The result of the process is a
structure with an air gap, a cavity, or a membrane underneath the resonator’s
structure. Front-side or back-side surface and bulk micromachining are the tech-
nological options for device releasing [28] (Figure 4.13).
4.9.2.2 SMR
The device structure and working principle of SMRs are basically the same as those
of FBARs. Instead of the air gap in FBARs, SMR devices implement a stack
of l/4-thick acoustically mismatched layers, which are placed underneath the reso-
nator to provide acoustic isolation from the substrate. The large acoustic-impedance
Air
Top electrode
Bottom
electrode
Air
λ = V/f0
Figure 4.12 Electric charge displacement and poling in a BAW resonator due to
an electric potential applied to its electrodes [28]
112 MEMS resonator filters
Electrode Electrode
Air gap
Figure 4.13 (a) Surface micromachined and (b) bulk micromachined [28]
Electrode
Low-imp. (/4)
High-imp. (/4)
Low-imp. (/4)
High-imp. (/4)
Low-imp. (/4)
High-imp. (/4)
Substrate
Figure 4.14 Wave propagation through SMRs and the Bragg reflector [28]
mismatching between the alternating l/4-thick materials causes the BAW to be sys-
tematically reflected to the resonator, thus confining the energy in the acoustic layer
of the device. For this reason, the stack is called a reflecting mirror, or Bragg reflector.
SMRs exhibit good robustness, low stresses, and practically no risk of mechanical
damage in dicing and assembly. On the other hand, FBARs exhibit higher Q factors
and thermal isolation due to the air gap. However, the Q factor of SMRs can be
tailored to achieve design specifications as a function of the number of reflector
periods N (Figure 4.14).
Series
Shunt
Figure 4.15 Topologies: (a) ladder, (b) lattice, and (c) mixed [47]
Series Shunt
resonator resonator
0 80
–5 60
–10 40
S21 –15 Impedance
in dB 20 in dB
–20
–25 0
–30 –20
1.9 2.0 2.1 2.2 2.3 2.4
impedances of both resonators. The resonance of the series resonator gives the
lower limit of the passband, while the resonance of the shunt resonator gives the
upper limit of the passband [47].
Ground Ground
electrode electrode
Input Input A1
electrode A1 electrode
Pt
Ground Ground
Pt
electrode electrode
AIN AIN
(a) (b)
Port 2 λ
Air Top electrode AIN α p=w
gaps Port 1 Port 2
AIN EAIN S/T D T
W
Port 1 SiO2 insulation/anchor
Conventional lamb wave resonator
B Bottom IDI electrodes
A B
AIN d2
Port 1 E'AIN S'/T' D' t Port 2
d2
G
W
Si substrate
Ti (10 nm)+Pt (100 nm)
ZnO (430 nm)
Al (100 nm)
Schematic of one port ZnO piezoelectric SEM of one – port 10 x 5.6 m ZnO
contour-mode resonator. rectangular plate.
● Atsushi Isobe et al. in 2009 has been working on a tuning fork type resonator
with the two radial extensional resonators. The figure of merits was 71 at the
resonant frequency and 94 at the anti-resonant frequency, which was the
highest values of the AlN-film contour-mode resonators [55].
● A new design approach for improving the anchor loss of the Lamb wave
resonators has been presented by J. Zou in 2014 at the University of California,
Berkeley. A conventional square plate and the butterfly-shaped AlN plate he
has designed. With the butterfly-shaped device, the energy loss via tethers was
reduced, hence high Q of 2,433 at 863.6 MHz center frequency compared to
1,916 of a quality factor at 862.0 MHz center frequency of conventional plate
resonator. But, the electromechanical coupling coefficient and the motional
resistance were degraded using a butterfly arrangement [44].
● A rigorous overview of the MEMS CMR technology using aluminum nitride
as basic piezoelectric material, its main structure, working principle, etching of
piezo film, and methods of performance optimization was presented by H.
Yunhong and his team in 2016. The presented resonator has the ability to
integrate multifrequency devices on a single chip for applications in the next
generation of wireless communications [56].
● A thin film zinc oxide piezoelectric on substrate (TPoS) microresonator has been
successfully demonstrated for the narrowband filtering application by M. Ossama
in 2015. Highest reported measured frequency for these devices was 767 MHZ for
minimum feature size (finger pitch) of 4 mm. The measured unloaded quality
factor of this first prototype was 1460 and motional resistance of 21 W. The
reported electromechanical coupling coefficient was 2.2% [57] (Figure 4.21).
● Gavin K. Ho et al. in 2008 reported the design, fabrication, and characteriza-
tion of piezoelectrically-transduced micromechanical single-crystal-silicon
resonators operating in their lateral bulk acoustic modes to address the need
for high-Q frequency-selective components. Resonators were fabricated on
Figure 4.21 Thin film ZnO piezoelectric micro-resonator [57]. Reprinted with
permission. 2014 IEEE
118 MEMS resonator filters
SOI substrates with a 300 nm zinc oxide film. A bulk acoustic mode was
observed with 600 W impedance (Q ¼ 3,400) at 90 MHz [58] (Figure 4.22).
● Author of [59] demonstrated the piezoelectric MEMS resonators with high-
quality factors in liquids can be used to monitor the change in grape must
during wine fermentation, which is a direct quality indicator of the fermenta-
tion process. Yu et al. [60] presented MEMS gyroscope through the use of the
acoustic Sagnac effect, which measured the phase difference between two
sound waves traveling in opposite directions in a circular MEMS structure
actuated by PMUTs [61].
● O. Mortada et al. in 2017 reported the design, simulation, fabrication, and test
results of ZnO-based contour-mode microresonators integrating piezoelectric
zinc oxide (ZnO) layers. The inter-digitated (IDT) type microresonators were
fabricated on ZnO films and suspended top of 2 mm thick silicon membranes.
They analyzed several possibilities of increasing the quality factor (Q) and the
electromechanical coupling coefficient (kt2) of the devices by varying the
numbers and lengths of the IDT electrodes and using different thicknesses of
the ZnO layer. They fabricated IDTs of different finger numbers with different
lengths for three different thicknesses of ZnO films. The measured Q factor
confirms that reducing the length and the number of IDTs fingers enables to
reach better electrical performances at resonant frequencies around 700 MHz.
The extracted results for an optimized microresonator device showed a Q of
1,180 and a kt2 of 7.4% with a resonant frequency of around 700 MHz [62]
(Figure 4.23).
● S. Ghosh and G. Piazza (2016) demonstrated the use of elasto-optic modula-
tion in an integrated MEMS Lamb wave structure. The device consists of a
photonic resonator embedded into a laterally vibrating piezoelectric resonator
for the strain to be transferred. They observed optical modulation at the device
resonance frequency of 843 MHz. They predicted the device to be used for
signal modulation in RF-photonic systems [63] (Figure 4.24).
Aluminum
I/O pad Support
Zinc oxide Electrodes
tether
x2
Silicon tSi
x1
Aluminum
I/O pad
w L
Buried
oxide
Figure 4.23 SEM picture of the fabricated devices: eight microresonators having
different lengths L of IDT electrodes and different numbers n of IDT
electrodes [62]
–30
–35
–40
Admittance (dB)
–45
–50
–55
fres = 843.07 MHz
–60 Qmech = 1572
kt2 = 0.86%
–65 Measurement
MBVD fitting
–70
820 830 840 850 860
Frequency (MHz)
● M. Zadehsafari et al. (2017) reported a new structure for AlN Lamb wave disk-
shaped resonator with several electrodes configurations. Electrodes are struc-
tured into different forms to study wave propagation with different electrodes
configuration. Simulation results are presented in the paper and also discussed
wave propagation [64] (Figure 4.25).
120 MEMS resonator filters
AIN Output Au
Au electrodes
Pt Polysi (BLUE)
a) c)
a) c) Input Au
electrodes
(RED)
e)
e)
b) d)
d)
b)
Minimum displacement Maximum displacement
Several configurations for MEMS resonator Simulation results for different structures
Figure 4.25 Several configurations for MEMS resonator. Simulation results for
different structures [64]. Reprinted with permission. 2017 IEEE
Manufacturing/Development
Electrostatic
Piezo- Optics
electric
Structural
Fluidics Electro-
magnetic
Thermal
4.13.1 Introduction
A high-quality resonating device depends upon its physical and mechanical para-
meters and their properties. The dimensions of the design directly affect its per-
formance parameters like quality factor (Q), resonance frequency (fr) and motional
resistance (Rm), inductance (Lm), capacitance (Cm, C0), etc. [4]. To obtain better
performance, the resonator must have high resonance frequency and high-quality
factor and low motional resistance. At present, the methods of improving these
performance parameters mainly focus on shrinking the geometric size of the reso-
nator, such as narrowing the width and space of the comb-shaped electrodes
(interdigitated). It may be noted that the size scaling is greatly restricted by the
lithography technology [56]. Therefore, the research of contour-mode resonator
(CMR) focuses attention on its design parameters to be optimized properly.
Thickness field excitation (TFE)-based resonators are two-port resonators consist-
ing of piezo material film sandwiched between the top and bottom electrodes,
hence increasing fabrication step and in lateral field excitation (LFE) which is a
one-port device and there is no bottom electrode, shown in Figure 4.28. The top
layer in both types is in an interdigitated structure form. Our work presents the
design of one port MEMS ZnO contour mode resonator based on lateral field
excitation (LFE).
We have used COMSOLTM Multiphysics FEM tool to analyze the resonance
frequency, mode shapes, deflection, von mises stress, electric potential, admittance,
quality factor, etc. of one port LFE contour mode MEMS resonator before pro-
ceeding to costly fabrication. Multiple trials of fabrication are not practically
acceptable because of the involvement of time and cost, hence validation through
numerical analysis and simulation through the efficient tool is very essential. The
geometrical design for the targeted parameters is of prime importance to achieve
good performance and also to understand reliability issues to build models. The
parameter optimizations are done through the Taguchi method.
When an AC signal is applied to LFE/TFE-based resonator, the electric field
induces corresponding mechanical strain (also stress) which causes the dilation or
contraction (vibration) of the resonator in contour mode direction as shown in
Figure 4.29 [65]. If the signal frequency coincides with the natural frequencies of
T T
W=nw W=nw
(a) Bottom electrode (b) No bottom electrode
Figure 4.28 (a) Thickness field excitation and (b) lateral field excitation
124 MEMS resonator filters
IDT fingers
ZnO
W
Si L
the structure, the specific vibration mode will be excited. The static capacitance C0
is coming from the dielectric property of the material contained between electrodes
and is in parallel to the electromechanical resonator.
ZnO Lm
L
C0
Cm
(a) Gnd
AI electrode Rm
wo AI (c) 2
wo = width of sub-resonator
T ZnO
Si
One sub-resonator
(b)
Figure 4.31 (a) One-port 21 interdigitated electrode CMR [65], (b) one
subresonator in magnifying form [65], and (c) equivalent electrical
Butterworth–Van Dyke model [66]
A one-port ZnO contour mode resonator (CMR) and its equivalent Butterworth-
Van Dyke (BVD) model are presented in Figure 4.31. The resonator consists of ZnO
thin film placed between the silicon substrate and top aluminum (Al) interdigitated
electrodes. The top electrode is IDT fingers to excite lamb waves propagating in the
ZnO film. In our case total, 21 subresonators (IDT fingers) are connected in parallel.
The resonance frequency is primarily defined by the IDT width, wo and is effectively
decoupled from the ZnO film dimension. The parameters used to design the resonator
are as per the Table 4.8.
The equivalent electrical circuit of the resonator is represented by its modified
Butterworth-Van Dyke (BVD) model, shown in Figure 4.31(c). The motional
resistance, Rm comes from the mechanical damping transformed into the electrical
126 MEMS resonator filters
8 woT
Cm ¼ N 2
Eeqd31
p2 L
woL
C0 ¼ N e0 e33
T
where N is the number of subresonators (IDT fingers), e0 is the free space permittivity,
e33 is the dielectric constant of ZnO along the c-axis, Eeq is the equivalent Young’s
modulus and req is the mass density, and d31 is the piezoelectric constant [53,66,67].
The design of a contour mode resonator starts from the selection of the targeted
resonant/center frequency (fr). The width of the subresonator plays an important
role to achieve fr. In-plane geometry is selected with piezoelectric material ZnO
placed between the silicon wafer and aluminum interdigitated (IDT) fingers.
Following are the design parameters of a typical contour mode resonator.
3dB
fr 3dB
Vibration amplitude
Admittance 3dB
Low Q
High Q
fs
Qs =
fr Frequency fr 3dB
fr = fs fp Frequency
p2 fp fs p2 Cm
kt 2 ¼ (4.12)
4 fp 8 Co
In order to improve the effective coupling of a resonator, the piezoelectric
materials with a higher piezoelectric coupling coefficient are desired. Even though,
its high electrical resistance, high breakdown voltage, and small dielectric constant,
AlN has a slightly smaller coupling coefficient compared to ZnO [56].
Mesh creation
Simulation running
The effective model implementation enables the design and device optimiza-
tion efficiently. Several simulations for various inputs and device dimensions were
addressed and prominent simulation results were considered. The following step-
wise methodology has been adopted to design a CMR structure in COMSOLTM
Multiphysics (Figure 4.33).
2D and 3D model was used for simulation. Physical boundary conditions like the
fixed end and the free end are defined. Then meshing is done into meshable and
nonmeshable parts. The active part of the model is the ZnO layer. Base structure and
130 MEMS resonator filters
anchors are skipped in order to reduce the computational load. The resonator structure
design and sample mesh model are shown in Figures 4.34 and 4.35, respectively.
The setting of the mesh before computations determine the resolution of the
finite element mesh used to discretize the model. Tetrahedron meshing is the
20
10
y 0
x
–10
(a)
003
20 40
10
z 20
y x 0
–10 0
(b)
Figure 4.34 (a) 2D model and (b) 3D model of LFE contour mode resonator
003
20 40
10
z
y 20
x 0
–10 0
default element for most physics within the software. If the geometry contains
small thin edges and faces, then slightly finer mesh than the default settings may be
incorporated to get a more accurate result. This refinement in the mesh size defi-
nitely improves the computational accuracy but contains some sacrifice in speed
and requires memory usage [66].
Total Displacement
Minimal Maximal
3rd mode (925 MHz)
(a)
0.009
0.008
0.007
0.006
0.005
0.75 0.8 0.85 0.9 0.95 1
(b) Frequency (GHz)
these parameters must be carefully studied. For optimizing the number of para-
meters in our resonator design, the numbers of combinations of control factors
(input factors) have been tried. Every combination with respect to each and every
control parameter is difficult to interlink and consume a big time with the waste of
Microelectromechanical resonator design for high frequency 133
300
Quality factor
250
200
150
100
50
0.8 0.85 0.9 0.95
Freq (GHz)
resources. So, for enhanced optimization with lesser efforts, Taguchi method of
design of experiments (DOE) has been implemented followed by an analysis of
variance (ANOVA) to get our vital parameters [70–75].
Do experiment
Parallel
experimentations
More thinking
(Let’s try that)
Waste of time,
resources, result Confirmation of
uncertainty results
Figure 4.38 A comparison of the general approach and the Taguchi approach
● Experimental analysis.
● ANOVA performs complete data analysis to determine the effect of the dif-
ferent parameters on the performance.
Factor p1 p2 p3 p4 p5 p6 p7 p8 p9 p10
levels
1 15 15 0.8 0.8 40 0.25 0.05 2 63 7,660
2 21 17 1.2 1.2 50 0.2 0.1 2.5 112 5,606
3 27 20 1 1 60 0.3 0.15 3 310 3,260
Through ANOVA analysis, we were able to find out the percentage contribu-
tion of each factor as shown in Figures 4.39–4.41.
So, we can see from the above charts that the material properties (E, r) play the
crucial role for Rm, fr, and Q. It is clear that the quality factor and resonance
frequency are less affected by the number of IDT fingers (subresonators), motional
resistance is dependent on N. The width of subresonator is an important factor in
deciding the performance of all the three target parameters. We cannot ignore the
thickness T, which plays an important role in the motional resistance and the
resonance frequency. Similarly, quality factor and resonance frequency can be
adjusted by changing the value of the width of the IDT finger. The thickness of the
top aluminum electrode only affects the resonance frequency as well as the length
of the resonator is also contributing less to change the value of motional resistance,
hence quality factor.
It is concluded from all the above three graphs that Young’s modulus and the
density of the material are the major percentage contributor for the three target
parameters. The response of other percentage contributors, viz. length of the reso-
nator, the thickness of the piezoelectric slab, and width of the sub-resonator for
respective calculations can be seen from the graphs shown in Figure 4.42.
From the responses of Figure 4.42, we state that, as the length of the resonator
increases, motional resistance decreases whereas resonance frequency and quality
factor are degrading. So, the proper balance has to be maintained in deciding the
length of the resonator for our design. As the piezoelectric thickness is increased,
Table 4.13 Experimental trial runs [75]
30
20
10
0
p1 p2 p3 p4 p5 p6 p7 p8 p9 p10
Control factors
50
40
30
20
10
0
p1 p2 p3 p4 p5 p6 p7 p8 p9 p10
then Rm increases whereas fr and Q are decreased and if the width of the sub-
resonator is increased, Rm and fr are decreased and negligible change in Q occurs.
As a number of IDT fingers are more, then the value of the motional resistance can
be obtained less and we can achieve more value of the resonance frequency with
high Q.
138 MEMS resonator filters
Response
9,900
Response
200 9.8
9,800
0 9.6
1 2 3 1 2 3 9,700
123
Level of factors Level of factors 9,600
1 2 3
Level of factors
(a) (b) (c)
Response
Response
300 10 10,000
200
5 9,500
100
0 0 9,000
1 2 3 1 2 3 1 2 3
Level of factors Level of factors Level of factors
(d) (e) (f)
Response
Response
400 10 10,000
200 9 5,000
0 8 0
1 2 3 1 2 3 1 2 3
Level of factors Level of factors Level of factors
(g) (h) (i)
Response
Response
400 10 10,000
200 9.5 9,500
0 9 9,000
1 2 3 1 2 3 1 2 3
Level of factors Level of factors Level of factors
(j) (k) (l)
Figure 4.42 Effect of (a) length of resonator on Rm, (b) length of resonator on fr,
(c) length of resonator on Q, (d) piezo thickness on Rm, (e) piezo
thickness on fr, (f) piezo thickness on Q, (g) width of subresonator on
Rm, (h) width of subresonator on fr, (i) width of subresonator on Q,
(j) N on Rm, (k) N on fr, and (l) N on Q [75]
By considering all the responses and the effects of each and every control
parameter, the final dimensions of the design were adjusted and finalized. With
these optimized values of the parameters (Table 4.9), the simulations have been
performed using COMSOLTM Multiphysics before proceedings toward fabrication.
Microelectromechanical resonator design for high frequency 139
4.17 Summary
This chapter introduced the fundamentals of MEMS resonators and their trans-
duction mechanisms. We discussed surface and bulk acoustic wave propagations
and examined some of the types of acoustic waves, including Rayleigh, long-
itudinal, and shear-mode waves. We paid attention to SAW and BAW devices as
well. The device design and modeling have also been addressed along with the
details of one port contour mode MEMS resonator. The parameter optimization
through Taguchi analysis has also mentioned.
Acknowledgements
We acknowledge Indian Nanoelectronics User Program (INUP), IITB, Mumbai, India
and Center for VLSI & Nanotechnology, VNIT, Nagpur, India. We are thankful to Dr.
S. Balpande, Dr. D. Khushalani, and Ms. P. Talekar of RCOEM, Nagpur, India.
References
[9] Balpande S.S., Pande R.S., and Patrikar R.M. “Design and low cost fabri-
cation of green vibration energy harvester.” Sensors and Actuators A:
Physical. 2016; 251(1): 134–41.
[10] Singh S, and Chakrabarti P. “Simulation fabrication and characterization of
ZnO based thin film transistors grown by radio frequency magnetron sput-
tering.” Journal of Nanoscience and Nanotechnology. 2012;12: 1880–85.
[11] Zhang Y., Nayak T.R., Hong H., and Cai W. “Biomedical applications of
zinc oxide nanomaterials.” Current Molecular Medicine. 2013; 13(10):
1633–45.
[12] Kołodziejczak-Radzimska A, and Jesionowski T. “Zinc oxide-from
synthesis to application: A review.” Materials. 2014;7: 2833–2881;
DOI: 10.3390/ ma7042833
[13] Yao J.J. “RF-MEMS from a device perspective,” Journal of Micromechanics
and Microengineering. 2000; R9–R38.
[14] https://compliantmechanisms.byu.edu/content/introduction-microelectro-
mechanical-systems-mems
[15] MEMS and Nanotechnology Exchange. http://www.memsnet.org
[16] Abdolvand R., Behreyni B., Lee J.E.-Y., and Nobki F. “Micromachined
resonators: A review.” MDPI Micromachines. 2016;7: 160. DOI: 10.3390/
mi7090160
[17] Sutagundar M, Sheeparamatti B.G., and Jangamshetti D.S. “Research issues
in MEMS resonators.” International Journal of Engineering and Science.
2014; 4(8): 29–39.
[18] Hung L.-W., and Nguyen C. “High-Q low-impedance MEMS resonators.”
Electrical Engineering, and Computer Sciences. University of California at
Berkeley Technical Report No. UCB/EECS-2012-218. 2012. http://www.
eecs.berkeley.edu/Pubs/TechRpts/2012/EECS-2012-218.html.
[19] Lin Y.W., Lee S., Li S.S., Xie Y., Ren Z., and Nguyen C.T.C. “Series-
resonant VHF micromechanical resonator reference oscillators.” IEEE
Journal of Solid-State Circuits. 2004;39(12): 2477–91.
[20] Nguyen C.T.C. “MEMS technology for timing and frequency control.” IEEE
Transaction on Ultrasonics Ferroelectrics Frequency Control. 2007; 54:
251–70.
[21] Yole emerging MEMS: Technologies and markets. Report. Yole Development.
France, 2010.
[22] Najla K. “Modeling and characterization of RF MEMS resonators.” Thesis.
The University of Texas at Arlington; 2009.
[23] Kim H.C., and Chun K. “RF MEMS technology.” IEEJ Transactions. 2007;
2: 249–61.
[24] Nathanson H.C., Newell W.E., Wickstrom R.A., and Davis J.R. “The reso-
nant gate transistor.” IEEE Transactions on Electron Devices. 1967; 14(3):
117–33.
[25] Taylor J.T., and Huang Q. CRC Handbook of Electrical Filters. Boca Raton,
FL: CRC Press; 1997.
Microelectromechanical resonator design for high frequency 141
[26] Chandorkar S.A., Agrawal M., Melamud R., Candler R.N., Goodson K.E.,
and Kenny T.W. “Limits of quality factor in bulk mode micromechanical
resonators.” Proceedings of the 21st IEEE International Conference on
Micro Electro Mechanical Systems. Tucson, Arizona. 2008; 74–77.
[27] Tilmans A.C.H. “Equivalent circuit representation of electromechanical
transducers: I. Lamped-parameter systems.” Journal of Micromechanics and
Microengineering. 1996;6: 157–76.
[28] Campanella H. “Acoustic Wave and Electromechanical Resonators:
Concepts to Key Applications”. Artech House. Norwood, MA 02062, ISBN-13:
978-1-60783-977-4
[29] Tang W.C., Nguyen T.-C., and Howe R.T. “Laterally driven polysilicon
resonant microstructures.” In Technical Digest, IEEE Micro Electro
Mechanical Systems Workshop, February 1989, 53–59.
[30] Tang W.C., Nguyen C.T.-C., Judy M.W., and Howe R.T. “Electrostatic-
comb drive of lateral polysilicon resonators.” Sensors and Actuators. 1990;
A21–23:328–31.
[31] Hsu W.T., and Nguyen C.T.C. “Stiffness-compensated temperature-
insensitive micromechanical resonators.” In Proceedings of the 15th IEEE
International Conference on Micro Electro Mechanical Systems, Las Vegas,
Nevada, 2002, 731–34.
[32] Yang Y.T., Ekinci K.L., and Huang X.M.H. “Monocrystalline silicon car-
bide nano electromechanical systems.” Applied Physics Letters. 2001;78:
162–64.
[33] Quevy E.P., Bhave S.A., Takeuchi H., King T.J., and How R.T. “Poly-Si
high- frequency resonator based on lithographic definition of nano-gap lat-
eral transducers.” In: Proceeding of Solid-State Sensor, Actuator, and
Microsystems Workshop, Hilton Head Island, SC, 2004.
[34] Roukes M.L. “Nanoelectromechanical systems.” In: Proceeding of Transducer,
2001, 658–61.
[35] Wang K., Yu Y., Wong A.-C., and Nguyen C.T.-C. “VHF free-free beam
high Q micromechanical resonators.” In: Proceedings 12th International
IEEE Micro Electro-Mechanical Systems Conference, 1999, 453–58.
[36] Xie Y., Li S.S., Lin Y.W., Ren Z., and Nguyen C.T.-C. “UHF micro-
mechanical extensional wine-glass mode ring resonators.” In: Proceedings
of IEEE the 16th Annual International Conference on Micro Electro
Mechanical Systems, MEMS2003, 2003, 698–701.
[37] No S.Y., and Ayazi F. “The HARPSS process for fabrication of nano-
precision silicon electromechanical resonators.” In: Proceedings of IEEE
2001 Conference on Nanotechnology (IEEE NANO01), 2001, 489–94.
[38] Kruse P.W. Elements of Infrared Technology Generation, Transmission, and
Detection. New York: Willy; 1963.
[39] Weinstein D., and Bhave S.A. “Internal dielectric transduction of a 4.5 GHz
silicon bar resonator.” IEEE International Electron Devices Meeting. 2007,
415–18.
142 MEMS resonator filters
[40] Piazza G., Stephanou P.J., and Pisano A.P. “Piezoelectric aluminum nitride
vibrating contour-mode MEMS resonator.” Journal of Microelectromechanical
Systems. 2006; 15(6): 1406–18.
[41] Abdolvand R., Ho G.K., Butler J., and Ayazi F. “ZnO-on-nano-crystalline-
diamond lateral bulk acoustic resonators.” In: Proceedings of the 20th IEEE
International Conference on Micro Electro Mechanical Systems, Kobe,
Japan, 2007, 795–98.
[42] Patni R., Joshi M., Mehta S., and Mohan A. “Design of piezoelectric alu-
minum nitride MEMS resonator.” Proceedings of the World Congress on
Engineering and Computer Science, 2011, Vol. 1, WCECS 2011, San
Francisco, USA.
[43] Piazza G. “MEMS resonators for frequency control and sensing applica-
tions.” Penn Micro and Nano Systems Laboratory (PmaNS Lab),
Department of Electrical and Systems Engineering, University of
Pennsylvania Philadelphia, PA, USA, http://pmans.ese.upenn.edu/
[44] Zou J. “High-quality factor lamb wave resonators.” M.S. Degree Thesis in
Engineering – Electrical Engineering and Computer Sciences, University of
California, Berkeley, 2014.
[45] Frederick A.A. “Analysis and fabrication of MEMS tunable piezoelectric
resonators.” MS Thesis, University of Pittsburgh, 2006.
[46] Yen T.-T. Experimental study of fine frequency selection techniques for
piezoelectric aluminium nitride lamb wave resonators. MS Thesis.
Department of Electrical Engg. & Computer sciences, University of
California at Burkeley, 2003.
[47] Benech P., and Duchamp J.-M. “Piezoelectric materials in RF applications.”
http://dx.doi.org/10.5772/63125. Book chapter 9-201-227.
[48] Besson R.J. “A new ‘electrodeless’ resonator design.” In: 31st Annual
Symposium on Frequency Control, 1977, 147–52.
[49] Hung L.-W., and Nguyen C.T.-C. “Capacitive-piezo transducers for higher Q
contour mode AlN resonators at 1.2 GHz.” In: Proceedings of the 2010 Solid-
State Sensor, Actuator, and Microsystems Workshop, Hilton Head Island, SC,
2010.
[50] Hung L.-W., and Nguyen C.T.-C. “Capacitive-piezoelectric transducers for
high- Q micromechanical AlN resonators.” Journal of Microelectromechanical
Systems. 2015; 24(2): 458–73.
[51] Yen T.-T., Pisano A.P., and Nguyen C.T.-C. “High-Q capacitive-piezoelectric
AlN Lamb wave resonators.” In: 2013 IEEE 26th International Conference on
Micro Electro Mechanical Systems (MEMS). IEEE, 2013; 114–17. http://
ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber ¼6474190
[52] Rinaldi M., Zuniga C., Zuo C., and Piazza G. “AlN contour-mode resonators
for narrow-band filters above 3 GHz.” Department of Electrical and Systems
Engineering, University of Pennsylvania, Philadelphia, 2009
[53] Gryba T., Carlier J., Wang S., Zhao X.Z., Guo S., and Lefebvre J.-E. “One
port contour-mode ZnO piezoelectric MEMS resonator.” Microelectronic
Engineering. 2011; 88: 3003–10.
Microelectromechanical resonator design for high frequency 143
[54] Cremonesi M., Frangi A., Cassella C., and Piazza G. “Enhancement of the
quality factor of AlN contour mode resonators by acoustic reflection:
Numerical design and experimental investigation.” Eurosensors 2014, the
XXVIII Edition of the Conference Series. Procedia Engineering. 2014; 87:
468–71.
[55] Isobe A., Kengo Asai (Hitachi Ltd., Central Research Laboratory).
“Contour-mode AlN resonator with high coupling factor.” Proceedings of
Symposium on Ultrasonic Electronics. 2009;30: 271–72.
[56] Yunhong H., Meng Z., Guowei H., Chaowei S., Yongmei Z., and Jin N. “A
review: Aluminum nitride MEMS contour-mode resonator.” Journal of
Semiconductors. 2016; 37(10).
[57] Ossama M., Pierre B., Aurelian C., Matthieu C., and Orlianges J.-C. “A zinc
dioxide-on-silicon MEMS resonator for narrowband filtering.” 21st IEEE
International Conference on Electronics, Circuits, and Systems (ICECS),
2014.
[58] Ho G.K., Abdolvand R., Sivapurapu A., Humad S., and Ayazi F.
“Piezoelectric- on-silicon lateral bulk acoustic wave micromechanical reso-
nators.” Journal of Microelectromechanical Systems. 2008;17(2): 512–20.
[59] Pfusterschmied G., Toledo J., Kucera M., et al. “Potential of piezoelectric
MEMS resonators for grape must fermentation monitoring.” Micromachines.
2017; 8.
[60] Yu Y., Luo H., Chen B., Tao J., Feng Z., Zhang H., Guo W., and Zhang D.
“MEMS gyroscopes based on acoustic Sagnac effect.” Micromachines.
2017; 8.
[61] Schmid U., and Schncider M. “Editorial for the special issue on piezoelectric
MEMS.” Micromachines. 2018; 9:237. DOI: 10.3398/mi9050237.
[62] Mortada O., Zahr A., Orlianges J.-C., Crunteanu A., and Chatras M.
“Analysis and optimization of acoustic wave micro-resonators integrating
piezoelectric zinc oxide layers.” Journal of Applied Physics, American
Institute of Physics. 2017;121(7).
[63] Ghosh S., and Piazza G. “Elasto-optic modulator integrated in high-
frequency piezoelectric MEMS resonator.” IEEE 29th International
Conference on Micro Electro Mechanical Systems (MEMS). 2016. DOI:
10.1109/MEMSYS.2016.7421544
[64] Zadehsafarii M., Zolfagharii P., Akbari A., and Ghavifekri H.B. “A
Piezoelectric lamb-wave disk-shape MEMS resonator for RF applications.” 4th
International Conference on Electrical and Electronic Engineering (ICEEE).
2017. DOI: 10.1109/ICEEE2.2017.7935787
[65] Deshpande P.P., Talekar P.M., Khushalani D.G., and Pande R.S.
“Piezoelectric aluminum nitride micro electromechanical system resonator
for RF application.” International Journal of Applied Engineering Research.
2018;13(6): 4263–67.
[66] Deshpande P.P., Pande R.S., and Patrikar R.M. “Fabrication and character-
ization of zinc oxide piezoelectric MEMS resonator.” Microsystem
Technologies. 2019. https://doi.org/10.1007/s00542-019-04509-w
144 MEMS resonator filters
[67] Yen T.-T. “High-Q aluminum nitride RF MEMS lamb wave resonators
and narrowband filters.” Ph.D. Thesis, University of California, Berkeley,
2012.
[68] Collaboration. “IEEE standard on piezoelectricity.” Institute of Electrical
and Electronics Engineers, New York, NY, 1988. IEE ANSI/IEEE Std 176-
1987.
[69] Yan L. “Piezoelectrically transduced low impedance MEMS resonators.”
Ph.D. Thesis, University of California, Irvine, 2005.
[70] Khushalani D.G., Dubey V.R., Bheley P.P., Kalambe J.P., Pande R.S., and
Patrikar R.M. “Design optimization and fabrication of microcantilever for
switching application.” Sensors and Actuators A: Physics. 2015;225(2015);
1–7.
[71] Mahamuni N.N., and Adewuyi Y.G. “Application of Taguchi method to
investigate the effects of process parameters on the transesterification of
soybean oil using high-frequency ultrasound.” Chemical Engineering
Department, North Carolina Agricultural and Technical State University,
Greensboro, North Carolina, Energy Fuels, 2010.
[72] Hwang I.-H., and Lee J.-H. “Self-actuating biosensor using a piezoelectric
cantilever and its optimization.” Journal of Physics, International MEMS
Conference 2006.
[73] Ross, P. Taguchi Techniques for Quality Engineering. New York: McGraw-
Hill; 1988.
[74] Kshirsagar A., Apte P., and Dattagupta S.P., “Optimization of pull-in voltage
and contact force for MEMS series switch using Taguchi method.” ICSE
Proceedings, Melaka, Malaysia, 2010.
[75] Deshpande P.P., Talekar P.M., Khushalani D.G., and Pande R.S. “Design
optimization and fabrication issues of MEMS resonator.” International
Journal of Technical Innovation in Modern Engineering & Science. 2018;
4(5): 1372–79.
[76] Roy R.K. A Primer on the Taguchi Method. Society of manufacturing
Engineers; 2010.
Chapter 5
Finite-element modeling
of RF MEMS resonators
Ravi Solanki1, Sakthi Swarrup J2 and Ashutosh Mahajan2
1
Centre for VLSI and Nanotechnology, Visvesvaraya National Institute of Technology, Nagpur, India
2
Centre for Nanotechnology Research, Vellore Institute of Technology, Vellore, India
146 MEMS resonator filters
equivalent model and the computational approach in which the governing physical
equations are solved using the suitable numerical technique. Since RF MEMS
resonators can have arbitrary shapes, finite element method (FEM) is best suited for
determining the static and dynamic response of the resonating structure.
In this chapter, the quick review of different structures, modes, and excitation
mechanisms is given in the beginning. The mechanical model for the RF MEMS
resonator is discussed and ways to extract the important device parameters are given
along with the electrical equivalent model. The importance of the physical modeling
of a MEMS device is established and various governing partial differential equations
(PDEs) are discussed. A brief introduction to the FEM for solving PDEs is given to
give the reader a glimpse of the FEM machinery running behind the screens of
commercial simulation tools. We discuss a finite element assembly for the Poisson’s
equation and its solution for demonstration purpose followed by the details of a few
commercial tools such as CoventorWare, Intellisuite, and COMSOL Multiphysics
with specific examples.
5.1.1 Structure
RF resonator structure mainly consists of a substrate which gives mechanical
strength to the structure, resonating structure i.e. beam, and the support structure for
the resonating beam i.e. anchor, as seen in Figure 5.1. Classification based on the
boundary condition at the ends of the resonating structures are as follows:
1. Clamped-clamped beam: In this configuration both the ends of the beam are
clamped and results in zero displacement at the end. The example of this
configuration is a guitar string.
2. Clamped-free beam: The beam in which one end is free to move while the
other is clamped can be referred as clamped-free beam. This configuration is
also known as cantilever.
Anchor
Anchor
Anchor
Figure 5.1 (a) Clamped-free, (b) clamped-clamped, and (c) free-free structure
Finite-element modeling of RF MEMS resonators 147
3. Free-free beam: In this configuration, the resonating beam rests on the support
beam such that both its ends are free to move, and the zero displacement comes
at the point of support.
5.1.2 Shapes
The shape of the resonating structure is not limited to the beam but other structures
such as the square plate, circular disk, ring shape, lateral comb, and membrane also
acts as a resonating structure. The boundary conditions mentioned above can also
be seen for these structures as well.
@2x @x
Meff þ zeff þ Keff x ¼ Fin (5.1)
@t 2 @t
Keff eff
Meff
x
Fin
where Meff is the effective mass for fundamental frequency f0 which differs from the
physical mass of the structure, zeff is the effective damping factor which represents
all the energy loss mechanisms, Keff is the effective spring constant which signifies
the restoration force, and Fin is an applied force [5]. All these effective parameters
are frequency- and time-independent. The two main parameters required for RF
MEMS resonator analysis are the natural frequency and quality factor or Q-factor.
The calculation of undamped natural frequency which states as modal analysis
of the structure is given as
rffiffiffiffiffiffiffiffiffi
1 Keff
f0 ¼ (5.2)
2p Meff
by setting input excitation Fin and zeff in equation (5.1) to be zero.
^ is applied and dynamic analysis
For the static analysis, constant force Fin ¼ F
is performed by applying time-varying force Fin ¼ F ^ cos wt.
The Q-factor by definition is the ratio of maximum energy stored to the energy
dissipated in one cycle. The relation of damping factor zeff and Q-factor is given as
2pf0 Meff
zeff ¼ (5.3)
Q
The resonator frequency in the presence of damping is
sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
1
fr ¼ f0 1 2 (5.4)
2Q
The spring-mass-damper model being simple, however, can only be applied for
analyzing the system with single resonating frequency, or multiple frequencies
without any coupling between the two frequencies. Moreover, the determination of
the effective mass, spring constant, and damping coefficient for complex geometry
is difficult to calculate in this model.
R L C
Vin=u.cos(t)
d2q dq q
L þ R þ ¼ u cos wt (5.5)
dt2 dt C
The static, modal, and dynamic analysis of the complete RF MEMS resonator
device along with transduction circuit can be performed by solving the equation 5.5.
cs
ostati
Electr equation RF MEMS
n’s resonators
Poisso
tion
Actua
TED
dam ping
ping dam
Air
zx zz
xx xz
z
x
y
yx yz
Material model
Equilibrium Stress Constitutive Strain Kinematic
Force Displacement
equation equation equation
Figure 5.6 Relation between force and displacement through the three equations
The equation of equilibrium for the materials derived from Newton’s law is
given as
@2u
rsþf ¼r ; (5.8)
@t2
where f denotes body force per unit volume and r as mass density of the solid. The
body force f , for example can comes from electrostatic force during electrostatic
actuation or temperature-induced force during thermoelastic damping analysis.
The constitutional relation which is material dependent is defined individually
for linear elastic and piezoelectric material.
Linear elastic material
The constitutive relationship for linear elastic materials, for example, metals (iso-
tropic) or semiconductors (anisotropic), is generalized Hooke’s law. For isotropic
material, stress–strain relationship is given as
E E
sxx ¼ ½ð1 nÞexx þ nðeyy þ ezz Þ sxy ¼ exy (5.9)
ð1 þ nÞð1 2nÞ ð1 þ nÞ
E E
syy ¼ ½ð1 nÞeyy þ nðexx þ ezz Þ syz ¼ eyz (5.10)
ð1 þ nÞð1 2nÞ ð1 þ nÞ
E E
szz ¼ ½ð1 nÞezz þ nðexx þ eyy Þ szx ¼ ezx (5.11)
ð1 þ nÞð1 2nÞ ð1 þ nÞ
154 MEMS resonator filters
where E and n are the material constant termed as Young’s modulus and Poisson
ratio. Stress and strain are second-order symmetric tensors. The relationship in the
matrix form can be written as s ¼ De, where D is the 6 6 elasticity matrix.
Using kinematic and constitutive relations, the equation of equilibrium can be
written as
E 1 @2u
rðr uÞ þ r2 u þ f ¼ r 2 (5.12)
2ð1 þ nÞ ð1 2nÞ @t
The above equation is called Navier–Cauchy equation for solid mechanics which can
be solved for any 3D structure to calculate the deformation caused by any force.
Piezoelectric material
Piezoelectric material is a class of dielectric in which applied electric field develops
mechanical strain inside. The external field changes the alignment of the polar-
ization domain inside the dielectric material.
The piezoelectric constitutive equations that couple the mechanical quantities
such as stress and strain with the electrical quantities in the piezoelectric material
are given as
5.3.3 Electrostatics
The physics that deals with the stationary charges and its field is termed as elec-
trostatics. The PDE for electrostatics is obtained using Gauss law, which is
r D ¼ rq , and the relation between electric flux density and electric field, which
is D ¼ ex. The resultant equation is termed as Poisson’s equation
r ex ¼ rq (5.14)
where rq is the volume charge density inside the enclosed region. Poisson’s
equation is a generalized form of Laplace equation, which has rq ¼ 0, and is used
to calculate the potential distribution in material with known charge density. The
voltage applied on the electrodes for actuation acts as a boundary condition for the
electrostatic equation.
Finite-element modeling of RF MEMS resonators 155
where h is the static air gap height, h is the viscosity coefficient, Qch is the relative
flow rate, PA is the ambient pressure, vz ðx; yÞejwt is the surface velocity in the
z-direction, pðx; yÞejwt is the pressure variation to be solved from the equation,
Dh ðx; yÞ is the relative diffusivity, Ch ðx; yÞ is the relative compressibility, and Yh ðx; yÞ
is the perforation admittance profiles.
PDE 1 of Domain 1
System System
convergence convergence
check check
Self-consistent Self-consistent
solution solution
Figure 5.7 Algorithm for the segregated solution and coupled solution approach
for solving coupled-domain analysis
equation depends on the degree of coupling. For strong coupling and nonlinear
problem, convergence is difficult to obtain. A fast FEM algorithm for electro-
actuated RF MEMS resonators is presented in [14] based on this approach.
2. Coupled solution: In the coupled solution approach, all the PDEs are solved
simultaneously using techniques like Newton–Raphson method. The initial
guess for all the parameters is defined at the beginning of the algorithm. The
Jacobian matrix is formed using the old guess which is used to obtain values
for the updated guess. The updated guess is fed again for next loop until con-
vergence is reached.
To exploit the computational power of computers for solving PDEs the
numerical techniques are used. Using these numerical techniques, the differential
equations can be converted into the set simultaneous linear equations which can be
assembled in matrix form and solved using computers. In Section 5.4, the most
generic numerical technique widely used for device designing and optimization is
discussed.
158 MEMS resonator filters
5.4.1 Preprocessing
For a given governing differential equations, matrices are to be assembled after
obtaining weak form of the PDEs and meshing of the physical domain on which they
are defined. These steps come under preprocessing. The two common procedures
that are applied on the governing equation to get the weak form are the Variational
method and the Galerkin method.
5.4.1.1 Variational method
For some physical problems, it is possible to find a function in which governing
differential equation can be embedded implicitly. The solution of the problem is then
a function which makes it stationary for the arbitrary variation of the functional. The
Variational method works for some physical problems satisfying the conditions;
however, the method cannot be used on some more complicated equations.
Governing differential equations and the boundary conditions together are said
to define a given problem in strong form whereas when the problem is expressed as
an integral expression such as functional that implicitly contains the governing
differential equations, then it is called to be in the weak form.
5.4.1.2 Galerkin method
In contrast, the Galerkin method has become more popular since it is easier to use
and gives a compatible approximation to the differential equation even when the
Variational principle method cannot be applied. When one knows the differential
equation but not the functional or the variational principle, application of the
method of weighted residues is preferred. Let u be the unknown function and F be
the differential operator for the governing equation:
FðuÞ ¼ 0 (5.23)
In the FEM, we try to find the approximate solution u to u which is expressed in
terms of node or vertex values uðxi Þ on the FEM grid
X
uðxÞ ¼ Ni ðxÞuðxi Þ (5.24)
i
where Ni are called as the interpolation functions or the shape functions. The values
of the unknown function u on the nodes are determined by setting the product of the
residual function R ¼ Fð u Þ with the weight function to zero:
ð
Rwi dW ¼ 0 (5.25)
W
When the weight functions wi are the same as the local functions or shape functions
Ni on the FEM element, the method is known as Galerkin method.
5.4.1.3 Meshing
A continuum domain is discretized by dividing system domain into elements and a
linear solution is assumed in each element. The process of discretizing domain into
elements is called as meshing. A mesh can be defined as union of elements, nodes,
and intersection elements. For example, in structure, Figure 5.8, as a whole, it is
160 MEMS resonator filters
–3
–6
y 22.5 30
0 7.5 15
z x
Boundary
called as a domain. Regions R1, R2, and R3 are subdomains and geometric
boundaries are also shown. This domain is divided into elements in the meshing
process. Elements, nodes, and intersection elements are called as mesh/grid entities.
The subdivision of a whole domain into simpler parts has several advantages like
accurate representation of complex geometry, inclusion of dissimilar material
properties, easy representation of the total solution, and capture of local effects.
There are several algorithms available to do meshing of a given geometry.
GMSH is one such structure editor and meshing software which includes
MeshAdapt, Delauney, and Frontal algorithms for meshing a given geometry [15].
In the FEM, system domain is divided into subdomains or grid-elements and
an interpolation scheme is defined over the subdomains.
Appropriate meshing is one of the crucial steps in FEM prescription. Finer
meshing gives accurate results but increases the computation time. Optimal
meshing leads to a reliable solution in reasonable computational time.
The shape functions can be linear, for example, in 2D (see Figure 5.9), the
linear shape functions can be written as follows:
N0 ¼ 1 x h; N1 ¼ x; N2 ¼ h for 2D;
N0 ¼ 1 x h z; N1 ¼ x; N2 ¼ h N3 ¼ z for 3D
Finite-element modeling of RF MEMS resonators 161
y (x3, y3)
1 3
(x2, y2)
(x1, y1)
1 2
x 0 1
As seen in Figure 5.9, any function f ðx; yÞ can then be linearly approximated in
an element as
X
2
f ðx; yÞ ¼ fi Ni ðx; hÞ (5.27)
i¼0
Other example of shape functions is Hermite polynomials. The 1D, 2D, and 3D
nonoverlapping subintervals cover the whole domain where each subinterval is
called an “element.”
If f is the approximate solution of PDE, then taking rq ðrÞ to left-hand side will
form a residue R as
Weight function w must belong to a class of admissible functions and for this
problem it must satisfy the following conditions to being an admissible function:
● w must be zero at boundaries where f is specified,
● w must be unconstrained at boundaries where rf is specified, and
● w must be smooth enough for the integral of the weighted residue to be finite.
Integrating (5.31) by parts, we get weighted residual formulation of (5.28) as
ð ð ð
erf:rw dW ¼ rq w dW Qw dW (5.32)
W W GN
A finite element mesh is generated or defined over the domain W such that it is
covered with M non-overlapping triangles (called elements) for a two-dimensional
(2D) problem or for example, tetrahedra for a 3D problem. The total vertices or
nodes of the mesh N decide the size of the assembled matrix to be solved.
As defined earlier the linear shape functions can be defined over every element
of the domain W. The finite element approximation is done in a finite dimensional
subspace consisting of continuous functions yi , i ¼ 1; 2; ::::N which are also called
as the basis functions. The approximate solution of f is sought which is a linear
combination of these basis functions yi with unknown coefficients fi
X
N
f¼ fi yi (5.33)
i¼1
where
ð
Dij ¼ eryi ryj dW;
W
ð ð
bj ¼ rq yj dW Qyj dW;
W GN
x ¼ ½f1 ; f2 ; ::::::::; fN T
As discussed earlier, after mesh generation, assembly routines are called which
assemble matrix D and vector b. Matrix D is sparse and BCRS data type is used to
store matrix D. In assembling procedure, integrals are transformed onto the element
(shown in Figure 5.9) and computed with an appropriate quadrature rule.
Let J be the conforming triangulation of the domain W and let the transfor-
mation map be given by g : D ^ !D
ð
Dij ¼ eryi ryj dx
W
Xð
¼ eryi ðgð^x ÞÞ ryj ðgð^x ÞÞQð^x Þd^x
^
D2^ D
Xð
¼ ^y
eðJgT r ^y
^ i Þð^x Þ ðJgT r ^ j Þð^x ÞQð^x Þd^x (5.36)
^
D2^ D
XX
p1
Dij ¼ ^y
e wk ðJgT r ^y
^ i Þðlk Þ ðJgT r ^ j Þðlk ÞQðlk Þ (5.37)
D2^ k¼1
qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
where Jg is the Jacobian of the transformation map g and qð^x ; ^y Þ ¼ detJgT Jg is the
determinant of the Jacobian. Let lk be the quadrature points of the chosen quad-
rature rule of integration and wk the associated weights and p1 are the total number
of quadrature points to evaluate the matrices in (5.37).
Similarly, the right side of (5.32) can be treated in the same manner where we
may have to use some other quadrature rule having p2 quadrature points
XX
p2
bi ¼ wk f ðgðlk ÞÞyi ðgðlk ÞÞQðlk Þ (5.38)
D2^ k¼1
The matrix entries now can be calculated by running an iteration over all
elements of the grid and updating all matrix entries with a nonvanishing con-
tribution on that element.
After assembling, the Dirichlet boundary conditions are inserted by following
procedure as can be seen in Figure 5.10. If node j is on Dirichlet boundary then jth row
is set to zero except Djk which is set to 1 and bj is set to known value at the boundary, in
this case Vss which is taken as zero for the example shown in Figure 5.10.
164 MEMS resonator filters
Figure 5.10 The boundary conditions can be implemented by modifying the lines
of D and b
5.4.3 Processing
Once the matrices are assembled and boundary conditions are applied, the matrices
can be supplied to the solvers for obtaining the solutions. The equation to be solved
can be of the type Ax ¼ b or generalized eigenvalue equations.
The assembled FEM matrices are largely sparse and to be solved by sparse
solvers to save computational time. For solving matrix equations, software packa-
ges like LAPACK, ARPACK, PETSc-SLEPc, BLAS, etc. are available that include
direct solvers, iterative solvers, and preconditioned solvers.
5.4.4 Postprocessing
Postprocessing is the last step in FEM which deals with analysis and visualization
of the obtained solutions or results. In this step, first the solution is checked thor-
oughly for possible numerical errors. Then, the behavior of the obtained solution is
checked for its consistency with the expected theoretical point of view. Solution of
PDE is not the one we require but the derived quantities which, we call as results
are to be extracted from the obtained solution. Visualization tools like Paraview can
be used to see the simulation result data on the FEM grid. The commercial tools
have their own in-built visualization tools.
The details about the FEM implementation of Poisson’s equation including pre-
processing, matrix assembly, and postprocessing are discussed in next section 5.4.5.
0.22
0.2
0.2
0.8
0.18
0.1 0.16
0.6
0.14
Y-axis
0.12
0.4
0
0.1
0.08
0.2
0.06
0.04
0.0
Y
0.0 0.2 0.4 0.6 0.8 1.0 0.02
Z
X X-axis 0
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.65 0.7 0.75 0.8 0.85 0.9 0.95 1
0.2
0.18
0.8
0.16
0.1
0.14
0.6
0.12
Y-axis
0.1
0.4
–2.8e-045
0.08
0.06
0.2
0.04
0.02
0.0
Figure 5.12 Potential obtained by solving Poisson’s equation for triangular charge density profile in Figure 5.11
168 MEMS resonator filters
Potential
1
0.8
0.75
0.6
Y-axis
0.5
0.4
0.25
0.2
0.0
5.5.1 CoventorWare
The CoventorWare software is a design and simulation tool for modeling a wide
range of devices with accuracy, capacity, and speed that are required for designing
real-time MEMS devices. It consists of system-level and physical design approa-
ches. In the system-level approach, behavioral model libraries with a high-speed
system simulator are used to generate a 2D layout. The 2D layout is then built into a
3D model in the physical approach [23]. Once the 3D model is created, a mesh will
be generated and simulation will be carried out using FEM solvers. This process
leads to a 2D layout to be moved to a model ready for fabrication. This software has
various design libraries and 3D physics solvers. It also has options for importing
and exporting files from third-party software.
Finite-element modeling of RF MEMS resonators 169
5.5.1.1 Meshing
Once the 3D model is built, the next step is the mesh generation. This is an
important step in finite element analysis. The whole structure is discretized to
portions called finite elements. These finite elements are joined to each other using
nodes. The mesh consists of the finite elements and the nodes. When an analysis is
run, the values from each node is calculated and output from each finite element is
found. The different types of meshes are extruded bricks, Manhattan bricks, tetra-
hedrons, mapped bricks, and surface mesh [24]. The user will decide on the type,
size, and how many nodes to be present as per the analysis.
5.5.1.3 Integrator
The CoventorWare modules can be accessed by other software such as Saber and
Cadence. This integration helps in higher level system simulation for the electronic
devices which will be connected to the MEMS device [24].
Design of cantilever beam immunosensor based on mass detection using
CoventorWare is discussed. A perforated cantilever beam was designed as an
immunosensor with the dimensions of Length 200 mm, breadth 10 mm, and thick-
ness 0.5 mm as can be seen in Figure 5.16 [25]. The cantilever beam deflection and
frequency change depend upon the change in the mass of the cantilever. The basic
interface screen shows the three modules: (a) architect, (b) designer, and (c) ana-
lyzer of the CoventorWare software (see Figure 5.14).
The substrate is the fundamental layer for all the process flow. Therefore, this
step cannot be edited or deleted or moved to a different position. The boropho-
sphosilicate glass (BPSG) deposit acts as a sacrificial layer for constructing a
cantilever beam and is completely etched away at the final sequence. Etching
happens by exposing the resist through the mask. The final structure of the canti-
lever beam after meshing can be seen in Figure 5.17 [25].
In this step, the boundary conditions will be fixed for the cantilever beam by
fixing one end and applying an input load to the free end of the cantilever.
The red area in Figure 5.19 shows the maximum deflection while the area in
blue represents minimum or zero deflection of the cantilever beam [25].
Figure 5.16 Layout of cantilever beam and dimension [25]
Figure 5.17 Structure and mesh of the cantilever beam designed in CoventerWare
software [25]
Figure 5.18 Setting the boundary conditions for the cantilever beam [33]
Figure 5.19 Analysis of the cantilever beam: visualiser showing cantilever beam
deflection [33]
174 MEMS resonator filters
5.5.2 Intellisuite
Intellisuite is a tool used to prototype and manufacture MEMS devices through
its design, process, packaging, and system tools [32]. The Intellisuite software
consists of process modeling, design tools, and multiphysics modules. The dif-
ferent domains in the process modeling involve mask design, FAB steps,
importing materials, and viewing the FAB processes. Designer tool is mainly
used for building the 3D model from the process model while the multiphysics
module analyzes the device. Some of the modules are similar in functioning
to the CoventorWare software. In the process modeling, there are different
domains such as AnisE, IntelliEtch, IntelliFAB, MEMaterial, RECIPE 3D,
FabViewer, FabSim, and Exposure. In design, Blueprint (Layout), TapeOut,
3DBuilder, and Hexpresso are available. The domains in the multiphysics
modules are ThermoElectroMechanical, Electromagnetics&RF-MEMS, Piezo
MEMS, Microfluidics&Bio-MEMS, Packaging, and Parametric Designer [32].
To construct a cantilever beam, the required modules are IntelliFAB, 3D
builder, and Thermomechanical analyzer.
Finite-element modeling of RF MEMS resonators 175
5.5.2.1 IntelliFAB
IntelliFAB module constructs 3D models directly from the process steps (such as
material deposition, wet and dry etching, wafer bonding, and mask definition
steps) which are present in the process databases in the IntelliFAB module. The
databases can be customized as per the fab requirements. Each process step includes
machine parameters which contain details of material properties and mask layouts. As
the process sequence involves defining masks, a 2D mask layout that is compatible
with DXF and GDSII file formats, standard vendor mask formats need to be created.
Figure 5.18 explains the setting of boundary conditions of the cantilever beam.
MEMaterial is important when the MEMS structure has low dimensional structures.
Nanomaterials, for example, have material properties that are size-dependent.
Therefore, correct values of material properties have to be entered to obtain accurate
results in the simulation.
5.5.2.2 Builder
The 3D builder is an IntelliSuite module in which the 2D model is built into 3D and
meshing of that geometry is done. The model that is built in the IntelliFAB or 3D
builder will be exported to the analysis module.
5.5.2.3 Thermoelectromechanical analysis—device analysis
This module couples thermal, electrical and electrostatic, and mechanical analysis.
There are five main steps to perform finite element analysis on MEMS devices:
1. Set boundary conditions
2. Mesh structure and locally refine mechanical and electrical meshes
3. Apply loads (voltage, pressure, thermal, etc.)
4. Set calculation type
5. Run analysis and view results
MEMS-based cantilever design and analysis using Intellisuite is performed
[33]. The fabrication process for a Silicon cantilever was carried out using the
IntelliFAB module and masks for selective etching were designed. A piezoresistive
patch (p-type Si) for measuring the strain in the cantilever was attached to the fixed
end of the cantilever. The static analysis was carried out using the thermoelec-
tromechanical analysis module. Boundary conditions, material properties, and the
input electrical current for the piezo patch were applied. The stress distribution of
the microcantilever is shown in Figure 5.20. The red area shows the maximum
stress while the region in blue shows minimum stress [33].
Stress: MPa
425.709
387.009
348.309
309.609
270.909
232.209
193.509
154.808
116.108
77.4083
38.7082
0.00817452
Figure 5.21 The geometry of piezoelectric AlN contour mode resonator designed
in COMSOL. The PML is included for modeling anchor loss
Figure 5.22 The nonuniform mesh for AlN CMR is utilized to reduce the
computational resource without affecting accuracy
simple shapes and their Boolean operations like merging, intersection, extrude, etc.
The rich graphical user interface of the tool allows generating any device geometry
whose simulation is made possible using FEM for solving PDE on it. While making
the geometry, all the dimensions like length and width of the resonator, electrodes,
the gap between the electrode, etc. can be defined as the global parameters in the
simulator. Doing this will allow the designers to change the geometry dimensions
quickly during the device optimization process. Another important damping
mechanism which needs to be included in the simulation is anchor loss. To accu-
rately calculate the anchor loss, some part of the silicon substrate is also included
with its outer layer as a perfectly matched layer (PML). The CMR geometry
designed in COMSOL is shown in Figure 5.21. In AlN CMR, d31 piezoelectric
coefficient results in lateral in-plane vibration when a field is applied in the
thickness direction. Thus, the device coordinate system needs to be properly
selected for getting proper results.
The materials are added next to the geometry. COMSOL has its material
database in which popular materials are already included. The material properties
required for the selected physical domain are included by default or can also be
added in case it is not defined. For example, the essential parameters like
Young’s modulus E and Poisson’s ratio n for solving solid mechanics and e for
solving electrostatics are defined for the selected material. In the simulation,
aluminum of thickness 100 nm is used for the bottom and top electrodes
(Figures 5.21 and 5.25).
The physics that was defined in the initial stage needs to be set up which
includes setting up the boundary conditions or specifying fixed constraints and the
material type, for example, isotropic linear elastic material for metals and aniso-
tropic linear elastic material for silicon. The mechanical Rayleigh damping and
dielectric loss for the piezoelectric material are also included under solid
mechanics. Under electrostatics physics, input and output electrodes should be
defined as terminals and bottom electrode as ground. Voltage is given to the input
terminal and the output terminal is taken as floating potential in COMSOL.
As already mentioned, COMSOL relays on solving the physical governing
equations using FEM, meshing is the main step for the simulation. COMSOL
provides many options for the meshing. By default, free tetrahedral meshing is
done for a 3D structure which can be selected from finer to coarser depending on
the desired accuracy as can be seen in Figure 5.22 or the physics dependent
meshing can be directly selected. Another option for meshing is to define custom
meshing by setting maximum/minimum element size.
Finally, the simulation can be run after meshing. First, the eigenfrequency
modes are identified which is shown in Figure 5.23 for 3D simulation and in
Figure 5.25 for the 2D simulation. The importance of PML for accurately modeling
the anchors can be seen from Figure 5.24 in which the leaking of the acoustic
vibrational waves can be seen. Following the identification of the resonance mode,
frequency analysis around the resonance mode needs to be carried out. The selected
physics will be solved using FEM and the results of the selected study can be
visualized and analyzed thereafter.
Finite-element modeling of RF MEMS resonators 179
Figure 5.23 The 3D mode shape of AlN CMR with total nine electrodes. The
length and width of the AlN film is kept as 15 mm and 18 mm,
respectively
Figure 5.24 The leaking out of the resonator energy through anchors. The leaking
out waves through anchors are absorbed by the perfectly
matched layers
2 1.6
1 1.4
0 1.2
–1 1
–2 0.8
–3 0.6
–4 0.4
–5 0.2
–6
0 2 4 6 8 10 12 14 16 18
Figure 5.25 The mode shape for the width extensional mode obtained by running
2D simulations
1700
1600
1500
Quality factor for frequency
1400
1300
1200
1100
1000
900
800
700
600
500
400
1 1.5 2 2.5 3 3.5 4 4.5 5
Frequency (GHz)
Figure 5.26 The quality factor versus frequency curve for the AlN CMR
Since, for the CMR, the resonance frequency depends on various factors
including the electrode width, the gap between electrodes, anchor length/width, and
electrode materials, the resonance frequency can be tuned by running accurate
FEM simulations by tuning these parameters.
Finite-element modeling of RF MEMS resonators 181
–58
–60
–62
–64
–66
–68
–70
–72
1 1.5 2 2.5 3 3.5 4 4.5 5
Frequency (GHz)
Figure 5.27 The admittance (20log10(abs(Y11))) versus frequency curve for the
AlN CMR as obtained from COMSOL
0.4
0.2
0
–0.2
–0.4
–0.6
–0.8
–1
–1.2
–1.4
–1.6
2.6 2.65 2.7 2.75 2.8 2.85 2.9 2.95 3
Frequency (GHz)
Figure 5.28 The variation of voltage at output port for the constant 1 V applied at
the input port. The output voltage sharply peaks at resonance
frequency enabling the device to work as filter or resonator
182 MEMS resonator filters
5.6 Summary
RF MEMS technology has shown unmatched potential for super high frequency
oscillators and filters used for next generation communication systems. The RF
MEMS resonator comprises of submicrometer size resonating structure which can
either be suspended in substrate cavity via anchors or can be placed directly on the
top of the substrate. The resonator structure can be fabricated using semiconductor
or metal having shapes like beam, plates, and ring and can be actuated electro-
statically. Another class of RF resonators having piezoelectric layer as a resonating
structure in the mechanical vibrations caused by electrostatic actuation is utilized
for oscillators. The key performance parameters for the resonators are the reso-
nating frequency, high quality factor, and low motional resistance. To meet the
required specification of these parameters, the device dimensions, resonator mate-
rials, and proper designing are required which can be achieved using proper device
modeling and computer simulations.
Modeling is a process of obtaining a set of mathematical equations which
govern resonator’s working. The most basic model of mechanical resonator is
spring-mass-damper model in which spring represents restoration and damper
represents energy loss mechanisms. The series R-L-C circuit is the electrical
equivalent of the mechanical spring-mass-damper model and can be useful in case
supporting circuitry needs to be simulated along with resonator. However, these
models are limited to single frequency analysis and are difficult to apply for
complex geometries.
As the working of MEMS resonators depends on various material- and structure-
dependent mechanisms, physical modeling is the best modeling approach for them.
Physical modeling relies on solving the governing equation related to all involved
physical mechanisms like electrostatics which governs the applied electrical force,
solid-mechanics which governs structure deformation, and fluid flow and thermal
transport which govern the damping mechanisms. All these physical mechanisms are
coupled with each other during the working of RF MEMS resonators.
Finite-element method is the most popular numerical technique used for sol-
ving partial differential equations with the known boundary conditions. This tech-
nique is best suited for arbitrary geometry and can be coded easily to make
generalized software package. The differential equations are expressed as algebraic
sets of equations that can then be represented into matrix form and numerical
techniques can be used to solve these matrix equations. The two common proce-
dures that are applied on the governing equation are the variational method and the
Galerkin method. A continuum domain is discretized by dividing system domain
into elements and a linear solution is assumed in each element. The process of
discretizing domain into elements is called as meshing. Once the matrices are
assembled and boundary conditions are applied, the matrices can be supplied to the
solvers for obtaining the solutions. Postprocessing is the last step in FEM which
deals with analysis and visualization of the obtained solutions or results.
There are several commercial software packages that do FEM computation on
MEMS devices. The CoventorWare software is a design and simulation tool for
Finite-element modeling of RF MEMS resonators 183
modeling wide range of device behavior and simulation of the fabrication process
flow of MEMS devices. The designing process of cantilever beam in CoventerWare
software is presented. Intellisuite is another software which consists of process
modeling, design tools, and multiphysics modules. The simulation of silicon can-
tilever in Intellisuite is presented. COMSOL is a commercial FEM-based multi-
physics simulation tool. The simulation steps and types of analysis that can be
performed using COMSOL are discussed and simulation steps for piezoelectric
AlN-based contour mode resonators are presented.
References
[1] Nguyen C.T.C. “MEMS technology for timing and frequency control.” IEEE
Transactions on Ultrasonics, Ferroelectrics, and Frequency Control. 2007;
54(2):251–270.
[2] Yao J.J. “RF MEMS from a device perspective.” Journal of Micromechanics
and Microengineering. 2000;10(4):R9.
[3] Yang Y., Lu R., Manzaneque T., et al. “Toward Ka band acoustics:
lithium niobate asymmetrical mode piezoelectric MEMS resonators.” In:
2018 IEEE International Frequency Control Symposium (IFCS). IEEE;
2018. pp. 1–5.
[4] Basu J., and Bhattacharyya T.K. “Microelectromechanical resonators for
radio frequency communication applications.” Microsystem Technologies.
2011;17(10–11):1557.
[5] Campanella H. Acoustic Wave and Electromechanical Resonators: Concept
to Key Applications. Artech House Integrated Microsystems Series; 2010.
[6] Tilmans H.A. “Equivalent circuit representation of electromechanical
transducers: I. Lumped-parameter systems.” Journal of Micromechanics and
Microengineering. 1996;6(1):157.
[7] Heyliger P.R. “When beam theories fail.” Journal of Mechanics of Materials
and Structures. 2013;8(1):15–35.
[8] De Silva C.W. Vibration: Fundamentals and Practice. CRC Press; 1999.
[9] Lifshitz R., and Roukes M.L. “Thermoelastic damping in micro-and nano-
mechanical systems.” Physical Review B. 2000;61(8):5600.
[10] Zener C. “Internal friction in solids. I. Theory of internal friction in reeds.”
Physical review. 1937;52(3):230.
[11] Veijola T., and Raback P. “Methods for solving gas damping problems in
perforated microstructures using a 2D finite-element solver.” Sensors. 2007;
7(7):1069–1090.
[12] Duwel A., Candler R.N., Kenny T.W., et al. “Engineering MEMS resonators
with low thermoelastic damping.” Journal of Microelectromechanical
Systems. 2006;15(6):1437–1445.
[13] Park Y.H., and Park K. “High-fidelity modeling of MEMS resonators. Part I.
Anchor loss mechanisms through substrate.” Journal of Microelectromechanical
Systems. 2004;13(2):238–247.
184 MEMS resonator filters
[14] Sumant P.S., Aluru N.R., and Cangellaris A.C. A methodology for fast finite
element modeling of electrostatically actuated MEMS. International Journal
for Numerical Methods in Engineering. 2009;77(13):1789–1808.
[15] Geuzaine C., and Remacle J.F. “Gmsh: A 3-D finite element mesh generator
with built-in pre-and post-processing facilities.” International Journal for
Numerical Methods in Engineering. 2009;79(11):1309–1331.
[16] Bastian P., Blatt M., Dedner A., et al. “A generic grid interface for parallel
and adaptive scientific computing. Part I: Abstract framework.” Computing.
2008;82(2–3):103–119.
[17] Hernandez V., Roman J.E., and Vidal V. “SLEPc: A scalable and flexible
toolkit for the solution of eigenvalue problems.” ACM Transactions on
Mathematical Software. 2005;31(3):351–362.
[18] Oñate E. Structural Analysis with the Finite Element Method. Linear Statics:
Volume 2: Beams, Plates and Shells. Springer Science & Business Media;
2013.
[19] Kwon Y.W., and Bang H. The Finite Element Method Using MATLAB. CRC
Press; 2018.
[20] Yi Y.B. “Finite element analysis of thermoelastic damping in contour-mode
vibrations of micro-and nanoscale ring, disk, and elliptical plate resonators.”
Journal of Vibration and Acoustics. 2010;132(4):041015.
[21] Guo X., Yi Y.B., and Pourkamali S. “A finite element analysis of thermo-
elastic damping in vented MEMS beam resonators.” International Journal of
Mechanical Sciences. 2013;74:73–82.
[22] Nguyen W.T., Kumar P., and Leong J. Finite element modelling and simu-
lations of piezoelectric actuators responses with uncertainty quantification.
Computation. 2018;6(4):60.
[23] CoventorWare MEMS Solutions Overview. https://www.coventor.com/
mems-solutions/.
[24] CoventorWare User Manual: Doc Ver 2006 Rev A Compatible with
CoventorWare Version 2006.
[25] Swarrup J.S., Govardhan K., and Velmurugan V. “Simulation of perforated
rectangular cantilever immunosensor for estimation of bacterial pathogens.”
Health. 2010;2(11):1239–1248.
[26] Lin Y.W., Lee S., Li S.S., et al. “Series-resonant VHF micromechanical
resonator reference oscillators.” IEEE Journal of Solid-State Circuits.
2004;39(12):2477–2491.
[27] MEMS Resonator Design and Simulation. https://www.coventor.com/mems-
solutions/resonators/.
[28] Rahimi M., Jamuar S., Hamidon M., et al. An optimized 2.4 GHz VCO
circuit design and simulation with high-Q MEMS LC-tank. AEU-International
Journal of Electronics and Communications. 2010;64(5):413–424.
[29] Dennis J.O., Rabih A.A.S., Khir M., et al. Modeling and finite element
analysis simulation of MEMS based acetone vapor sensor for noninvasive
screening of diabetes. Journal of Sensors. 2016.
Finite-element modeling of RF MEMS resonators 185
[30] Mistry K.K., Swamy K., and Sen S. “Design of an SOI-MEMS high resolution
capacitive type single axis accelerometer.” Microsystem Technologies. 2010;
16(12):2057–2066.
[31] Lishchynska M., O’Mahony C., Slattery O., et al. “Evaluation of packaging
effect on MEMS performance: Simulation and experimental study.” IEEE
Transactions on Advanced Packaging. 2007;30(4):629–635.
[32] Intellisuite @ Technical Reference Manual, Version 8.2/PC, Part No.30-
090-100. 2007.
[33] Swarrup J.S., and Velmurugan V. Modeling of micro-electro mechanical
systems based cantilever beam as biosensor for C-reactive protein.
International Journal of Nanoscience. 2011;10(04–05):797–801.
This page intentionally left blank
Chapter 6
Fabrication of low-frequency resonators
Amol Morankar1
6.1 Introduction
Due to tremendous success in the fabrication of microelectronics components and
chips, it has fueled the development in the nonelectronics components such as sen-
sors and systems. It has also resulted in the adaption of VLSI fabrication techniques
for the manufacturing of sensors and interfacing with nonelectronics systems.
MEMS contain mechanical elements that can be fabricated using VLSI fabrication
techniques. It can be merged with on-chip signal processing systems and actuators.
MEMS may contain sensors such as pressure sensors, flow sensors, temperature
sensors, optical signal handling systems, lab on a chip, etc. Fully integrated MEMS are
designed using sophisticated VLSI CAD software and can be batch fabricated using
various VLSI-based fabrication tools and techniques. Thus, MEMS components are
progressively becoming faster, smaller, efficient, and more functional [1–3].
In this chapter, fabrication of low-frequency MEMS resonator is discussed.
The designed device can be fabricated on a 2/4/8-inch silicon wafer as per the
requirement. The general specifications of the wafer that can be selected for
fabrication of low-frequency MEMS resonator are as follows:
● Size: 2/4/8 inch
● Single-side polished
● Orientation: “100”
● Type: n
● Resistivity: > 1,000 ohm-cm
The performance of the resonator depends on the substrate parasitic and resis-
tivity. The n-type silicon wafer can be selected since it is required to use metals as a
structural material and Cr/Au for connecting pads. It would result in low parasitic
capacitance and achieve better device performance. The standard surface micro-
machining process is mostly preferred for the fabrication of low-frequency devices
as compared with bulk micromachining and Lithographie, Galvanoformung,
Abformung (LIGA). Silicon dioxide can be used as electrical isolation between the
1
Riva Labs, CIVN, Visvesvaraya National Institute of Technology, Nagpur, India
188 MEMS resonator filters
metal electrodes and the substrate. Silicon dioxide is also preferred as sacrificial
material. The standard CMOS fabrication technique employs Cr/Au for connecting
pads which can be preferred in resonators as well. Au/Ag/Cu/Al/Ni metal can be used
as a structural material in the formation of beams [4–7]. Fabrication of the low-
frequency MEMS resonator includes processes such as
● Mask design using Clewin 4 and mask printing
● RCA cleaning of Si wafer
● Wet oxidation for oxide growth
● Spin coating for deposition of photoresist
● Annealing
● Thermal evaporation for Cr/Au deposition
● CVD/LPCVD for deposition
● Lithography: double-sided alignment and exposing to UV light
● Dielectric sputtering for deposition of sacrificial layer/metals
● Electroplating for deposition of thick metal layers
● Liftoff and wet etching for removal of unwanted material
from the crucible is guided to reach the wafer under high-vacuum conditions.
Evaporation with an e-beam is fairly directional, that is employed to obtain sha-
dowing effects. Shadowing effects through the use of tilted substrate permit one side
of elevated features to be coated, while the other side is shadowed. Such a method is
mostly employed in the deposition of electrodes used in accelerometers and rate
gyroscopes.
6.2.3.4 Electrodeposition
Electrodeposition or electroplating process involves electrochemical solution in
which metal ions are deposited onto a substrate. Metals that are suitable for elec-
troplating are gold, copper, chromium, nickel, and magnetic iron–nickel alloys
(permalloy). Mostly, the electroplating process involves controlling deposition with
an applied electric current. Uniformity in the thickness of the deposited material
depends on uniform current density everywhere the plating is done and the quality
of the electrochemical solution. Electroplated metals often exhibit rougher surfaces
than evaporated or sputtered films. Electroplating is mostly employed to achieve
higher thickness and high aspect ratio microstructures in MEMS sensors and
systems.
Fabrication of low-frequency resonators 191
6.2.3.6 Photolithography
Photolithography consists of two parts: a photo-process, whereby the desired pat-
tern is photographically transferred from an optical plate to a photosensitive film
coated on the wafer, and a chemical or physical process of either removing or
adding materials to create the pattern. A photomask contains the pattern to be
transferred which is a mixed set of opaque and transparent regions. It is brought
into contact with an oxidized silicon wafer coated with photoresist. Ultraviolet light
is directed through the mask onto the wafer, exposing the unprotected portions of
the resist that changes the chemical properties as a result of the light exposure.
Most processes are subtractive that results by removing photoresist material
through etching unwanted material chemically. The positive photoresist regions
exposed to the UV light become more soluble in the developer than the protected
regions. After development and postbake, the protected regions of resist remain on
the wafer so that after etching, the opaque regions of the mask remain as oxide and
the clear regions are removed. Negative photoresist functions exactly opposite of
positive photoresist. Regions that are clear in the negative become dark in the
transferred image. The regions exposed to UV light are insoluble in the developer.
The final result is a transfer of pattern into the photoresist so that after etching, the
opaque regions of the mask become regions cleared of photoresist.
6.2.3.7 Etching
Etching is a process of removing unwanted material through suitable masking layer
such as patterned photoresist. The etching is achieved by immersion of the patterned
substrate in a suitable chemical that removes the exposed region of the substrate
whereas the unexposed regions are protected. The rate of etching and features of the
structure depends on the type of substrate, the chemical properties of the etchant, and
the masking layer. Wet etching can be used to etch deeply into the substrate and is
mostly isotropic. A deep reactive ion etching process is mostly employed to achieve
higher etching rate and deep etches into the material or photoresist.
6.2.3.8 Liftoff
Liftoff is used with metals that are difficult to etch with plasmas. A wafer is coated
with a resist and is exposed and developed so as to create a slightly re-entrant resist
192 MEMS resonator filters
profile. This same effect can be created with a two-part masking layer in which the
upper layer is patterned, and the second layer beneath is slightly undercut. When
metal is evaporated from a directional source, such as an e-beam heated crucible, the
resist profile shadows the side walls. The metal thickness must be only a fraction of
the resist thickness. When the resist is stripped, the metal on top of the resist is lifted-
off, while the metal deposited directly into the opening of the resist remains.
Microfabrication process steps briefed are mostly employed in the fabrication
of low-frequency MEMS resonator devices [14–15]. However, it does provide an
overview of many of the steps used in the fabrication of MEMS devices. It
demonstrates process sequences and assists in planning by analyzing some of the
trade-offs between process and device performance. Process planning by reducing
the number of photolithography layers is desired.
CC beam
CC beam
Anchors Anchors
CC beam
CC beam
–20
y
x 0
Coupling beam
(a) Mask 1: Connecting pads, base of the anchors and I/O electrodes
Figure 6.2 Mask design using Clewin software: masks 2 and 3 are similar. (a)
Mask 1: connecting pads, base of the anchors, and I/O electrodes; (b)
masks 2 and 3: anchors; and (c) mask 4: CC beams and mechanical
couplers
SiO2
N-type Si substrate
LOR + AZ5216
SiO2
N-type Si substrate
LOR + AZ5216
SiO2
N-type Si Substrate
N-type Si substrate
pads for RF & DC probes. The thickness was measured using surface pro-
filometer after deposition that varied from 150 nm to 160 nm.
6. Metal patterning 1: Liftoff was performed to remove photoresist from the pat-
terned areas using PG remover. After the liftoff was completed, the remaining
photoresist if any was removed using acetone and IPL (see Figure 6.7).
Figure 6.8 shows the image of the wafer obtained using an Olympus micro-
scope after completing this step.
7. Photoresist deposition 2: The wafers are again prebaked at 150 C for 180 s,
then coated with UV-sensitive LOR and photoresist AZ5216 with a thickness
of 1.5 mm using spin coating and then annealing (postbake) at 100 C for
180 s (see Figure 6.9).
8. Expose to UV light: Lithographically patterned by exposing to UV light
through the second level mask for 10 s and then developing it in MF319 for
35 s and washed with DI water. The photoresist in exposed areas was
removed, leaving behind a patterned photoresist mask for further process (see
Figure 6.10).
Cr/Au Cr/Au
SiO2
N-type Si substrate
Length: 148.27m
Length: 6.03 m
H
Length: 6:90m
H
Length: 148.27 m
Figure 6.8 Image of the wafer obtained after first metal deposition
196 MEMS resonator filters
N-type Si substrate
N-type Si substrate
N-type Si substrate
Cr/Au Cr/Au
SiO2
N-type Si substrate
N-type Si substrate
AZ5216
N-type Si substrate
AZ5216
N-type Si substrate
14. Patterning: Wet etching was performed to remove SiO2 from the patterned
areas using 5:1 BHF for 40 s with etch rate 10 nm/s. After the etching was
completed, the remaining photoresist if any was removed using acetone and
IPL (see Figure 6.17).
15. Photoresist deposition 4: The wafers are prebaked at 150 C for 180 s then
coated with UV-sensitive LOR and photoresist AZ5216 with a thickness of
Fabrication of low-frequency resonators 199
N-type Si substrate
N-type Si substrate
N-type Si substrate
1.5 mm using spin coating and then annealing (postbake) at 100 C for 180 s
(see Figure 6.18).
16. Expose to UV light: Lithographically patterned by exposing to UV light through
the fourth level mask for 10 s and then developing it in MF319 for 35 s and
washed with DI water. The photoresist in exposed areas was removed, leaving
behind a patterned photoresist mask for further process (see Figure 6.19).
17. Metal deposition 3: A 120 nm layer of Cr was deposited using thermal eva-
poration. The metal was deposited in vacuum at a pressure of about 1 mTorr and
the rate of deposition was approximately 0.1 nm/s. This third metal layer forms
the CC beams and seed layer for Ni electroplating (see Figure 6.20). The
deposited Cr (120 nm thickness) was measured using a surface profilometer.
200 MEMS resonator filters
N-type Si substrate
N-type Si substrate
N-type Si substrate
Ni
Cr/Au Cr/Au
SiO2
N-type Si substrate
Figure 6.25 [18]. The example was intentionally selected since it can be exploited
in the design of low-frequency resonators. The switch can be fabricated p-type Si
<100> single-side polished (SSP) substrate. An insulating SiO2 layer of 1 mm can
be deposited using thermal oxidation. With the use of LOR and S1813 positive
202 MEMS resonator filters
photoresist materials, CPW and actuation lines can be patterned using the liftoff
process. Au metal is mostly preferred for CPW and actuation lines. Cr/Au/Cr
metal layers can be deposited using DC sputtering. Mostly Cr is used for better
adhesion to silicon and Au that assist in protecting CPW and actuation lines. AlN
can be used as a dielectric material, and the AlN material can be deposited using
DC sputtering. The AlN can be patterned using reactive ion etching (RIE)
method. S1813 positive photoresist material can be used as a sacrificial layer.
S1813 sacrificial layer of desired thickness can be coated using a spin coater. The
membrane using Au material can be deposited using DC sputtering that helps the
membrane to develop the strain. The membrane is patterned using wet etching
with developer KI:I2:H2O in 4:01:40 ratio, after patterning of the membrane the
switch is Figure 2.26 [18].
The low-frequency MEMS resonator sensor is illustrated which is composed of
an electrostatically actuated clamped-clamped microbeam applied in the detection
of gas sensors [19]. The sensor was fabricated on a 4 silicon wafer coated with a
silicon dioxide (SiO2) layer. The SiO2 layer was deposited using the plasma-enhanced
Fabrication of low-frequency resonators 203
(a) (b)
Ground Electrode1 Strip Electrode2 Ground
(c) (d)
(e) (f)
(g) (h)
(i) (j)
Figure 6.25 Fabrication flow: (a) Si substrate, (b) SiO2 insulator deposition using
thermal oxidation, (c) Cr/Au deposition using DC sputtering PVD
process, (d) patterning of CPW and actuation lines using wet etching,
(e) deposition and patterning of AlN using DC sputtering and RIE,
respectively, (f ) deposition of sacrificial layer, (g) patterning of
trenches, (h) deposition of membrane metal (Au), (i) patterning of
membrane, and (j) membrane release using wet process [18]
Sputtering and
patterning of Sputtering and
Cr/Au patterning the
Cr/Au which forms
RIE of SiO2
the hardmask
metal precursor ethanolic solution and organic ligand (terephthalic acid (bcd))
ethanolic solution for 5 and 10 min, respectively, to grow the Cu(bcd)xH2O MOF
thin film [19]. Figure 6.27 shows the fabrication steps and Figure 6.28 shows an
SEM image and a schematic of the clamped-clamped resonator. To release the
Fabrication of low-frequency resonators 205
microbeam, the sacrificial layer is fully etched using the XeF2 dry etchant. Extra
etching cycles were conducted to etch the silicon from the opening in the SiO2 layer
and form the perforations in the lower electrode.
4. Fourth, selective etching process can be used to remove the sacrificial layer on
top of the anchor. It is important to select photoresist that would remain unaf-
fected in 5:1 BHF and other etchants. Various experiments with photoresist need
to be performed to remain unaffected in the 5:1 BHF and other etchants.
5. The deposition rate of metal in electroplating solutions depends upon the type of
metal and current density. Therefore, it is desired to determine the deposition rate
of metal in electroplating solution at varied current density. It is observed that
metals in electroplating solutions have deposition rates approximately a few
nm/min. However, the rate of deposition in electroplating at room temperature is
very less, it can be increased by increasing current density and temperature of
electroplating solution. Also increasing the temperature of the electroplating
solution may elevate the thermal stability of the deposited metal.
6.5 Summary
The device fabrication process for low-frequency MEMS resonator is explained in
this chapter. Challenges faced during the fabrication process and experiments
performed to solve those issues are also discussed. All the processes such as metal
deposition, dielectric deposition, electroplating, and wet etching must be studied by
performing experiments to determine its deposition and etching rate, respectively.
The rate of deposition in electroplating at room temperature is very less; it can be
increased by increasing the current density and temperature of the electroplating
solution. Observations and measurements of dimensions and thickness need to be
regularly performed after every fabrication step. It helps to confirm the correctness
of the process. Physical characterization and electrical characterization need to be
performed on the fabricated device to validate the proposed concept.
References
[1] Basu J., and Bhattacharyya T.K. “Microelectromechanical resonators for
radio frequency communication applications.” Microsystem Technologies.
2011;17(10–11):1557–80.
[2] Nguyen C.T.C., Katehi L.P.B., and Rebeiz G.M. “Micromachined devices
for wireless communications.” Proceedings of IEEE. 1998;86(8):1756–68.
[3] Héctor J., and Santos D.L. RF MEMS Circuit Design for Wireless
Communications. Artech House; 2002.
[4] Vardhan V. et al. RF MEMS and Their Application. John Wiley; 2003.
[5] Senturia S. Microsystem Design. Kluwer Academic Publishers; 2002.
[6] Ananthasuresh G. K. et al. Micro and Smart Systems. Wiley India; 2010.
[7] Randy J.R., Héctor J., and Santos D.L. “MEMS for RF/microwave wireless
applications: The next wave.” Microwave Journal. 2001.
[8] Pourkamali S., Ho G.K., and Ayazi F. “Low-Impedance VHF and UHF
capacitive silicon bulk acoustic wave resonators—Part I: Concept and fab-
rication.” IEEE Transactions on Electron Devices. 2007; 54(8); 2017–23.
Fabrication of low-frequency resonators 207
[9] Srikar V.T., and Spearing S.M. “Materials selection in micromechanical design:
An application of the Ashby approach.” Journal of Microelectromechanical
System. 2003; 12(1): 3–10.
[10] Gad-el-Hak M. The MEMS Handbook MEMS Applications. Boca Raton, FL:
Taylor & Francis; 2006.
[11] Carter J., Cowen A., Hardy B., Mahadevan R., Stonefield M., and Wilcenski S.
PolyMUMPs Design Handbook. MEMSCAP Inc.
[12] Johnson R. A. Mechanical Filters in Electronics. Wiley Series on Filters.
Canada: John Willey & Sons;1983.
[13] Lobotniu N., and Garcia E. Mechanics of Microelectromechanical Systems.
Boston, MA: Kluwer Academic Publishers; 2005.
[14] Bannon F.D., Clark J.R., and Nguyen C.T.C. “High-Q HF microelec-
tromechanical filters.” IEEE Journal of Solid-State Circuits. 2000;35(4):
512–26.
[15] Poddar A. et al. “MEMS enabled RF signal source.” IEEE Journal of
Microelectromechanical Systems. 2007:16–19.
[16] Morankar A., and Patrikar R.M. “Dual frequency MEMS resonator through
mixed electrical and mechanical coupling scheme.” IET Journal of Circuits,
Devices & Systems. 2018;12(1); 88–93.
[17] Morankar A., and Patrikar R.M. “Effective method for temperature com-
pensation in dual band metal MEMS resonator.” 22nd International
Symposium on VLSI Design and Test (VDAT - 2018). Thiagarajar College of
Engineering, Madurai, India, June 28–30, 2018. Springer Communication in
Computer & Information Science. 2019; 892:233–41.
[18] Rao K.S., Thalluri L.N., Guha K., and Sravani K.G. “Fabrication and char-
acterization of capacitive RF MEMS perforated switch.” IEEE Access.
2018;6(7): 7519–28.
[19] Jaber N., Ilyas S., Shekhah O., Eddaoudi M., and Younis M.I. “Multimode
MEMS resonator for simultaneous sensing of vapor concentration and tem-
perature.” IEEE Sensors Journal. 2018; 18(24): 10145–53.
This page intentionally left blank
Chapter 7
Fabrication of high-frequency resonators
Prasanna Deshpande1 and Rajesh Pande2
7.1 Introduction
Micromechanical resonators are the most popular RF microelectromechanical
system (MEMS) devices as their potential advantage is easy integration with
CMOS circuitry. The piezoelectrically transduced micromechanical resonators are
difficult to fabricate as the piezoelectric layer introduces contamination, residual
stress, and process compatibility issues. MEMS are fabricated using some standard
process steps including photolithography, wet/dry etching, oxidation, diffusion,
low-pressure chemical vapor deposition (LPCVD), and sputter deposition [1]. The
block schematic of MEMS fabrication cycle is shown in Figure 7.1.
There are various fabrication techniques involved in MEMS. The fundamentals
of some of those techniques were already discussed in Chapter 4. Here, we consider
the particular case of laterally vibrating one port contour mode resonator (CMR)
devices with 21 interdigitated fingers to show a complete microfabrication process.
Although CMRs are just one example of microresonators, the techniques presented in
this chapter are also applicable to the general case of MEMS/NEMS resonators [3].
Piezoelectric layer deposition and interdigitated electrode’s deposition and
patterning are important processes involved in the fabrication of CMR. Releasing
of the device is also a challenge.
This chapter focuses on fabrication laterally vibrating contour mode one
port ZnO MEMS resonator for global system for mobile communication (GSM)
frequency range. The bottom electrode is not used, thus saving the fabrication
step. The resonators have been fabricated on Si/SiO2 by using three mask pro-
cesses. The device area is small (W L ¼ 57.12 mm 18.52 mm) compared to the
previous works reported. The thickness of ZnO piezoelectric film was 250 nm in
this fabrication. The piezoelectric ZnO thin film has been used due to its better
coupling coefficient, low deposition temperature, excellent bonding, and unique
semiconducting and optical properties. Among all the piezoelectric materials, zinc
1
Department of Electronics and Communication Engineering, Shri Ramdeobaba College of Engineering
and Management, Nagpur, India
2
Department of Electronics Engineering, Shri Ramdeobaba College of Engineering and Management,
Nagpur, India
210 MEMS resonator filters
Device-dice
Blank substrate and package
Mask
can be etched very quickly and very anisotropically, making possible very thick
structures with small widths [1,5–8].
The simple, as well as the most complex, resonator structures can be fabricated
on a silicon substrate. In contrast to surface micromachining, bulk machining
etches into a silicon wafer, either isotropically or anisotropically, to form micro-
structures. The advantage of bulk micromachining over surface micromachining is
that it yields high aspect ratio structures.
(a) (b)
(c) (d)
Figure 7.3 SEM images (at different magnifying level) of the sputter-deposited
ZnO [7,10] (We acknowledge the INUP, IITB, India)
(101)
(100)
(002)
Intensity (a.u.)
(110)
(103)
(112)
(102)
(201)
(200)
20 30 40 50 60 70
the range between 20 and 70 . All the measurements were carried out at room
temperature. All the diffraction peaks have indices (100), (002), (101), (102), (110),
(103), (200), (112), and (201) matching with pure hexagonal crystalline structure of
zincite zinc oxide. XRD graph shows that there are no additional XRD peaks
corresponding to any impure phases. Figure 7.4 presents ZnO XRD pattern [9,10].
214 MEMS resonator filters
MEMS devices comprise many materials. Silicon (Si) with <100>/<110> orien-
tation and silicon dioxide (SiO2) have proven the best combination for the substrate
and the sacrificial layer respectively enabling CMOS compatibility furthermore [1].
Apart from that, silicon/silicon nitride is also being researched in most of the
applications such as MEMS planer and suspended inductor for low-pass filtering.
For this fabrication, 200 silicon wafer of <100> orientation with 4–7 W-cm resis-
tivity was being selected over a SiO2 layer of 1,000 nm thick have been deposited
by the thermal wet oxidation method.
ZnO thin film has been employed due to its better coupling coefficient, low
deposition temperature (maybe at room temperature), excellent bonding, unique
semiconducting, and optical properties (Figure 7.5). The pollution-free green pie-
zoelectric ZnO material is highly tensile and may undergo huge mechanical
deformation for a long duration unaffected by temperature variation. Similarly, a
variety of acidic etchants are also available to etch ZnO effectively. In this fabri-
cation process, a simple and inexpensive wet etching method for ZnO has been
incorporated over costly DRIE [11–16]. The low toxic and biocompatible feature of
ZnO can make it comfortable in medical applications. The highly conductive alu-
minum (Al) metal of 100 nm to pattern 21 IDT fingers (electrodes) has been
deposited by thermal evaporation after ZnO deposition step [4]. Table 7.1 lists the
parameters used in the deposition of zinc oxide by dielectric sputter.
(a)
(b)
Figure 7.5 Thickness observation on ellipsometry (a) SiO2 and (b) ZnO [7,10]
(We acknowledge the INUP, IITB, India)
216 MEMS resonator filters
Exposing radiation
Glass
Mask
Chromium (800 Å)
An image-forming system may occupy
A portion of this space
Resist
Oxide or multiple layers of device
Wafer Wafer substrate
Develop
resist
Resist
systems are available. The electron beam exposure system machine has proved to
be the best photomask pattern generator. It is widely used in mask shops. Because
of the serial nature of the pattern writing, throughput is less much than for optical
system. However, some special products such as microwave transistors have for
many years been manufactured by direct wafer patterning. In the first application to
low-volume integrated circuits, some levels were pattern optically and some by
electron beam [17].
Electron exposure of resists occurs through bond breaking (positive resists) or
the formation of bonds or crosslinks between polymer chains (negative resists). The
incident electrons have energies far greater than bond energies in the resist mole-
cules, and so all these energies are effective. Both bond scission and bond forma-
tion occur simultaneously. Which predominates determines whether the resists are
positive or negative. In a negative resist, electron-beam-induced crosslinks between
molecules make the polymer less soluble in the developer solution. One crosslink
per molecule is sufficient to make the polymer insoluble. Resists sensitivity
increases with increasing molecular weight. If molecules are larger, then fewer
crosslinks are required per unit volume for insolubility. The polymer molecules in
the unexposed resists will have a distribution of lengths or molecular weights and
thus a distribution of sensitivities to radiation. The narrower the distribution, the
higher will be the contrast, g. In a positive resist, the scission process predominates,
the exposure leading to lower molecular weights and greater solubility. Again, high
molecular weight and narrow distribution are advantageous [17].
When electrons are incident on resists or other material, they enter the material
and lose energy by scattering, thus producing secondary electrons and X-rays. The
fundamental process limits the resolution of electron resists to an extent that depends
on resists thickness, beam energy, and substrate composition. More electrons are
scattered back into the resists from a GaAs substrate than from a silicon substrate.
Table 7.2 lists a few readily available electrons resist. Many other resists are
under development. PMMA is the highest resolution resists known. MP-2400 is an
optical resist that is also electron sensitive. Values for sensitivity and resolution are
approximate. Because faster electrons penetrate more deeply, more current is
required at higher voltages. A resist is about one-half as sensitive for 20 keV
electrons as it for 10 keV electrons [17].
(a)
(b)
(c)
Figure 7.7 Illustration of the hard mask in CleWin (a) 6 6 devices, (b) device
under magnification, and (c) single device [18]
220 MEMS resonator filters
(a) (b)
(c)
Figure 7.8 (a) EBL for window opening, (b) sample in BHF PMMA started
peeling, and (c) structure got damaged [18]
(a) (b)
Figure 7.9 SEM images of (a) ZnO etch and (b) undercut [18] (We acknowledge
the INUP, IITB)
Fabrication of high-frequency resonators 221
(a) (b)
Figure 7.10 (a) Image after a 24-h dip in AZ100 (no lift-off observed) and (b) lift-
off started after 1 h of heat at 90 C
4. It was observed during second-level lithography that the masks layer for EBL
and optical lithography should be a mirror image of one another this is because
while doing optical lithography we flip the mask plate and then UV to be
exposed. The window openings were observed and the ZnO etching has been
performed. BHF etching for 20 min has also been performed to confirm
undercut (BHF 5:1 is 10 nm/min) in SiO2. The Olympus microscope images
are shown in Figure 7.12(a) and (b). The spacing required for interdigitated
electrode was 50.4 mm but it was observed that the first column was of no use
as the spacing left between the windows was found less. The other columns
were observed fine to use for the further process [18].
The SEM image of the undercut as illustrated in Figure 7.13(a) has been
observed to check lateral etch but after etching for 20 min, the whole pit or
undercut was not obtained. So, further 15 min etching was done and it was seen that
the whole device got etched out, Figure 7.13(b). So, it was noted that the BHF
etching period must be between 20 and 35 min for a proper device [18].
Considering all these issues faced during the fabrication process, some
experimentations have been carried out and the solutions have been incorporated
and accordingly, we have developed an optimized recipe for our device fabrication,
as shown in Figures 7.14 and 7.15. The optimized process time for each step,
optimized dimensions of the device, proper selection of the etchant, SEM/Olympus
images after each step were helpful to us to get our resonator fabricated properly.
The exact design and the dimensions of laterally vibrating CMR with 21 interdigitated
fingers and zinc oxide as piezoelectric material are explained in Chapter 4.
(a)
(b) (c)
(d) (e)
Figure 7.11 (a) Mask plate in CleWin for second-level lithography, (b) mask plate
with six devices, (c) device of the second column, (d) device of the
third column, and (e) device of the fourth column [18]
Fabrication of high-frequency resonators 223
(a) (b)
Figure 7.12 (a) Window in the first column with spacing approximately
47.22 mm and (b) window in the second column with spacing
approximately 50.85 mm [18]
structural components during fabrication. The structural layers are patterned and
the sacrificial material is removed, using wet chemical etching. In this work, the
fabrication process incorporates two EBL and two optical lithography steps, as
shown in Figure 7.14(a)–(i). These steps involve metal deposition and etching. The
fabrication begins with the deposition of isolation layer silicon dioxide (SiO2) of
1,000 nm on the top of p-type\100 orientation 200 silicon wafer (4–7 W-cm resis-
tivity) by thermal wet oxidation at a furnace temperature of 1,000 C for 3 h. The
250 nm ZnO film was deposited on SiO2 using dielectric sputter with radio fre-
quency power of 100 W. The thickness of SiO2 and ZnO layer has been confirmed
on the ellipsometer (Figure 7.5(a) and (b)). The first step of EBL has been used to
locate alignment marks for which El19 resist bilayer PMMA 4% is spun for 30 s at
3,000 rpm. The writing takes 3 h followed by rinsing in MIBK (methyl isobutyl
ketone) developer for 30 s, thereafter rinsing in IPA (isopropanol) for 90 s. The
next step is Cr/Au deposition (10 nm/80 nm), then lift-off using AZ-100 photo-
resist. The optical lithography has been performed next by mask aligner (SUSS
MJB4) for the definition of cavity opening for which PPR (positive photoresist) is
deposited at 3,000 rpm for 30 s. The expose period was 10 min followed by rinsing
in MF319 (Microposit developer) for 30 s followed by rinsing in DI water for 10 s.
ZnO thin film is etched by wet etching in phosphoric acid (H3PO4) þ acetic acid
(CH3COOH) þ DI water (1:1:30) followed by SiO2 etching in BHF (5:1). The next
EBL has been performed to write interdigitated (IDT) fingers for which again El19
resist bilayer PMMA 4% is spun for 30 s at 3,000 rpm. The writing takes 3 h
followed by MIBK for 30 s, thereafter IPA for 90 s. The aluminum (Al) of
100 nm thin is evaporated for IDT fingers. These fingers are patterned by lift-off
(MicropositTM 1165 remover). The next optical lithography (process as described
above) has been performed by using mask aligner (SUSS MJB4) for the defi-
nition of contact pads. The contact pads have been developed by Cr/Au (10 nm/
80 nm) deposition, followed by the lift-off process [4].
224 MEMS resonator filters
(a)
(b)
Figure 7.13 (a) Undercut, BHF etching for 20 min and (b) BHF etching for
35 min, the device got damaged [18] (We acknowledge the INUP,
IITB, India)
The fabrication flow of the above process is also determined by its flowchart
representation, as shown in Figure 7.15.
The step-wise SEM images of the fabricated resonator are shown in
Figure 7.16. The cavity/undercut formation after ZnO and SiO2 etching is shown in
(a), whereas Raith SEM after third level EBL for interdigitated structure (vertically
flip mask) is depicted in (b). A complete device with a 21 IDT pattern of 100 nm
thick aluminum metal deposited with alignment marks of Cr/Au (10 nm/80 nm) is
shown in (c). Part (d) of Figure 7.16 shows the magnifying cross-section image of
only IDT structure followed by the formation of Cr/Au metal contact pads in (e).
Totally 36 (6 6) devices have been accommodated on a single sample of
1 cm 1 cm as shown in (f).
(a) P-type Si (b) SiO2 (c) ZnO (d) PPR
wafer <100> Thermal oxidation dielectric sputter
EBL-alignment marks
Cr/Au deposition-lift-off
(e) Optical lithography (f) ZnO etch (g) SiO2 etch (h) EBL-Interdigited patterns
for (cavity) undercut (removal of PPR) AI deposition-lift-off
A
B
(a) (b)
(c) (d)
(e) (f)
7.10 Summary
Furnaces 200
Thermal oxidation-wet
All tubes can handle 200 wafers.
Pyrogenic oxidation furnace
Temperature up to 1,150 C—gases H2 and O2
Double-walled furnaces
Uniform growth of oxide—only dry oxidation of silicon wafers
Compound semiconductor furnace
This furnace is used for various compound semiconductor (GaN, GaAs, etc.)
processes
Post metallization anneal (PMA) furnace
This furnace is dedicated to post aluminum annealing
Annealing furnace (Argon ambient)
Max. temp.: 900 C, ambient: argon
Boron diffusion furnace
Dedicated for making junctions in Si with solid source dopant and spin on
dopant. Max. temp. is 1,100 C, gases used: O2, H2, and N2.
Phosphorus diffusion furnace:
Dedicated for making junctions in Si with solid source dopant and spin on the
dopant.
Max. temp. is 1,100 C, gases used: O2, H2, and N2
Capabilities
● Substrate used: Si substrate or transparent substrate like glass
● Sample size: minimum 1 cm 1 cm, maximum 600 wafer
● Thickness: minimum 1–2 nm, maximum 100 m
230 MEMS resonator filters
(Continued)
RAITH150—Lithography
RAITH150 is used for ultra-high-resolution patterning, pattern inspection, and
dimensional meteorology. It has the ability to handle a wide range of samples
including up to 800 wafers.
Specifications
● TFE filament with beam size < 2 nm at 20 kV
● Beam current range 5 pA–20 nA
● Beam energy 100 eV–30 kEV
● Stage travel range 150 150 20 mm
● Current density: 20,000 A/m2
● Current stability: 0.5%/8 h
● Minimum line width < 20 nm
● Stitching accuracy < 40 nm (means þ 3 sigma)
● Overlay accuracy < 40 nm (means þ 3 sigma)
232 MEMS resonator filters
Process capabilities:
● Imaging, lithography, EBID
Imaging:
● The substrate used: Si, sapphire, Pt–Si, glass plate
● Substrate history: Powdered sample cannot be used; nonconductive sam-
ple needs 10–20 nr Au/Al-coated on them to avoid charging
● Substrate size: For surface imaging, small pieces up to 100 mm or 400
wafer for cross-section imaging at 45 (20 mm W 10 mm H) at max 90
(20 mm W 7 mm H)
Deposition
● The substrate used: Si (till now)
● Substrate size: Small pieces (up to 10 mm to 200 ) wafer material that can be
deposited: platinum, tungsten, SiO2
Acknowledgements
References
[1] Piazza G., “MEMS Resonators for Frequency Control and Sensing
Applications,” Penn Micro and Nano Systems Laboratory (PmaNS Lab),
Department of Electrical and Systems Engineering, University of
Pennsylvania, Philadelphia, PA 19104, USA, Power point presentation slides,
http://pmans.ese.upenn.edu/, 2012.
[2] Yan L., “Piezoelectrically Transduced Low Impedance MEMS Resonators,”
Ph.D. Thesis, University of California, Irvine, 2005.
[3] Campanella H., “Acoustic wave and electromechanical resonators-Concepts
to key applications”, Artech House, Norwood, MA 02062, ISBN-13: 978-1-
60783-977-4.
[4] Deshpande P.P., Pande R.S., and Patrikar R.M., “Fabrication and char-
acterization of zinc oxide piezoelectric MEMS resonator”, Microsystem
technologies (2019). https://doi.org/10.1007/s00542-019-04509-w.
[5] https://compliantmechanisms.byu.edu/content/introduction-microelectro
mechanicalsystems-mems.
[6] Khushalani D.G., Dubey V.R., Bheley P.P., Kalambe J.P., Pande R.S., and
Patrikar R.M., “Design optimization and fabrication of microcantilever for
switching application,” Sensors and Actuators A: Physical, 2015, 225, 1–7.
[7] Gupta A., Denton J.P., McNally H., and Bashir R., “Novel Fabrication
Method for Surface Micromachined Thin Single-Crystal Silicon Cantilever
Beams,” IEEE Journal of Micromechanical Systems, 2003, Volume 12,
Issue 2, pp. 185–192.
236 MEMS resonator filters
[8] Rinaldi M., Zuniga C., Zuo C., and Piazza G., “Super-High-Frequency
Two-Port AlN Contour-Mode Resonators for RF Applications,” IEEE
Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 2010,
Volume 57, Issue 1, pp. 38–45.
[9] Talam S., Kurumuri S.R., and Gunnam N., “Synthesis, Characterization, and
Spectroscopic Properties of ZnO Nanoparticles”, ISRN Nanotechnology,
vol. 2012, Article ID 372505, 6 pages, 2012. https://doi.org/10.5402/2012/
372505.
[10] Deshpande P.P, and Pande R.S., “Low motional resistance lateral field exten-
sional UHF MEMS resonator”, International Journal of Engineering and
Advanced Technology (IJEAT), Volume-8, Issue-5, June 2019, pp. 2194–2198,
ISSN:2249-8958(Online), Blue Eyes Intelligence Engineering & Science
Publications.
[11] Balpande S.S., Pande R.S., and Patrikar R.M., “Design and low cost fabri-
cation of green vibration energy harvester,” Sensors and Actuators A:
Physical, 2016, 251, 134–141.
[12] Singh S., and Chakrabarti P., “Simulation, Fabrication, and Characterization
of ZnO Based Thin Film Transistors Grown by Radio Frequency Magnetron
Sputtering,” Journal of Nanoscience and Nanotechnology, 2012, 12, 1880–85.
[13] Zhang Y., Nayak T.R., Hong H., and Cai W., “Biomedical Applications of
Zinc Oxide Nanomaterials,” Current Molecular Medicine, 2013, 13, 1633–45.
[14] Kołodziejczak-Radzimska A. and Jesionowski T., “Zinc Oxide- From
Synthesis to Application: A Review,” Materials, 2014, 7, 2833–81
DOI:10.3390/ma7042833.
[15] Gryba T., Carlier J., Wang S., Zhao X., Guo S., and Lefebvre J-E., “One port
contour-mode ZnO piezoelectric MEMS resonator”, Microelectronic
Engineering 2011, 88, 3003–10.
[16] Kwon J.W., and Kim E.S., “Fine ZnO patterning with controlled sidewall-
etch front slope,” In HH 2004 Proceeding Solid-State Sensor, Actuator and
Microsystems Workshop, 2002, 223–26.
[17] Sze S.M., “VLSI Technology”, Tata McGraw-Hill Publications, Eleventh
reprint 2008, Reprinted in India by arrangement with the Tata McGraw-Hill
Companies, Inc., New York.
[18] Deshpande P.P., Talekar P.M., Khushalani D.G., and Pande R.S., “Design
Optimization and fabrication issues of MEMS resonator”, International
Journal of Technical Innovation in Modern Engineering & Science
(IJTIMES), e-ISSN: 2455-2585, Volume 4, Issue 5, 2018, pp. 1372–1379.
[19] Yen T-T., “High-Q Aluminum Nitride RF MEMS Lamb Wave Resonators and
Narrowband Filters,” Ph.D. Thesis, University of California, Berkley, 2012.
[20] Borrow H., “Frequency tunable MEMS-based timing oscillators and narrowband
filters”, Electrical engineering and computer science, University of California at
Berkeley Technical report no. UCB/EECS-2015-255, December 18, 2015.
[21] Wang K., and Nguyen C.T.C., “High-order medium frequency micro-
mechanical electronic filters,” Journal of Microelectromechanical Systems,
1999, 8, 534–57.
Chapter 8
Filter and oscillator design using
SAW/BAW resonators
Motoaki Hara1
8.1 Introduction
In frequency control above the GHz band, surface acoustic waves (SAWs) and bulk
acoustic waves (BAWs) are used, rather than the bending-mode or contour-mode
vibration of the elastic body generally used in silicon-based resonators. Piezoelectric
materials are employed as a resonating body in SAW/BAW devices to obtain a
sufficient electromechanical coupling coefficient since the amplitude of vibration
decreases with increasing operating frequency. In this chapter, we will describe
SAW/BAW devices using piezoelectric materials and their applications.
Historically, SAWs were an object of interest to seismologists since their
derivation by Lord Rayleigh [1], rather than for their application to electronic
devices via the excitation of vibration on a piezoelectric substrate [2]. However,
since the report of the efficient excitation of SAWs on a piezoelectric substrate
using an interdigitated transducer (IDT), industrial applications of SAWs have
expanded with the establishment of processing technologies in the semiconductor
industry. In particular, the progress of thin-film technologies and the photolitho-
graphic patterning of metal films with high resolution have been key factors in the
development of SAW devices.
SAW devices were initially developed as communication devices for military use
in Europe and the United States and were applied to delay lines, transversal filters,
pulse compression filters and the like [3–9]. However, with the progress of digital
processors, the need for these technologies gradually declined. On the other hand, in
the 1970s, applications such as intermediate frequency (IF) filters for television tuners
became commonly used with the development of consumer communication devices
[10,11], then the market for SAW devices for mobile communications, typified by
mobile phones, expanded rapidly from the 1990s. In their application to mobile
phones, the problems of insertion loss and power durability inherent in SAW devices
have also been reduced by numerous technical innovations, and SAW devices have
become indispensable devices in the RF front-end circuitry [12–18].
1
National Institute of Information and Communications Technology, Japan
238 MEMS resonator filters
Quartz and ceramic resonators are classified as BAW devices, and the history
of BAW devices is never short in comparison with that of SAW devices. However,
the use of quartz and ceramic devices as MEMS resonators is not discussed in this
text, and acoustic devices using a piezoelectric thin film will be described as BAW
devices here. BAW devices were initially in the form of high-overtone acoustic
resonators (HBARs), as shown in Figure 8.1 [19]. In an HBAR, a piezoelectric film
is employed as a transducer to strongly excite a high-overtone mode in a low-
elastic-loss material such as quartz, yttrium–aluminium garnet (YAG), spinel or
sapphire. The industrial application of HBARs is, however, limited to acousto-optic
modulators because the electromechanical coupling coefficient is small, and many
harmonics are adjacently owing to the overtones. To avoid such unnecessary
adjacent harmonics and achieve a strong resonance with a large coupling coeffi-
cient, it is necessary to trap the fundamental-mode vibration in the piezoelectric
film. A composite resonator, whose diaphragm structure is fabricated beneath the
piezoelectric transducer by thinning the substrate with MEMS technologies, as
shown in Figure 8.2, was proposed by three research groups at almost the same
time [20–22]. In recent years, such resonators have been called thin-film bulk
acoustic resonators (FBARs) and have been widely employed as filter devices
Top electrode
Piezoelectric
film a = l /2
Bottom
electrode
b = (2n+1)l /2
Low-loss n>1
acoustic cavity b >> a
Top electrode
Piezoelectric
film
Bottom
electrode
Substrate
Active area
placed closest to the antenna in mobile communication devices in the GHz band in
a way similar to that in the case of SAW devices since around the 2000s [23–25].
An interesting feature of FBARs is that the resonator is fabricated on the substrate
in a process based on film deposition. This feature in principle enables the acoustic
device to be integrated with the active circuits. Indeed, monolithic oscillators
integrating an FBAR and an amplifier were first reported in the early 1980s and are
still frequently discussed at present [26,27].
In this chapter, SAW and FBAR resonators are regarded as MEMS devices and
their structures are classified. In addition, we introduce RF front-end circuits,
which are the main application of SAW/FBAR resonators, and review the topology
of the filters and duplexers used in SAWs/FBARs. Also, as a technique of
improving the performance of these acoustic devices, doping and bonding techni-
ques are explained, referring to recent studies. Nowadays, the demand for linearity
and isolation is heightening in mobile phones with advanced architectures, and
these trends are mentioned briefly in this chapter. Finally, GHz-band oscillators are
also becoming a new research field of FBARs, which we briefly discuss.
s1(t)
n1(t)
+
h1(t)
n(t) s2(t) s(t) h1(t) n2(t)
h2(t) h2(t) +
+ n3(t)
s3(t) h3(t)
h3(t) +
hn(t)
hn(t) nn(t)
+
sn(t)
Ch Ch Ch Ch Ch Ch Code
Ch anne an ann ann an an
ne el el ne ne
Ch anne l 1 l1 2 3 l4 l5
Ch ann l 2
Ch ann el 3
an el 4 Channel 1
ne
l5
Time Time Channel 2 Time
Channel 3
Channel 4
Channel 5
y
y
nc
nc
nc
ue
ue
ue
eq
eq
eq
Fr
Fr
Fr
narrow and no more than several hundred kHz (<0.02% of the fractional band-
width). Therefore, in the design of transceiver circuits, coding, compression and
modulation with high efficiency are utilised in the baseband circuitry. To alleviate
the design requirements for these processes in the baseband, the RF front-end cir-
cuit as the front stage of the baseband process or must have the following functions:
1. Band selection: reduction in the intensities of unwanted signals from other
communication standards in use.
2. Downconversion of the desired signal to the low-frequency band (baseband) to
alleviate the design requirement for the channel selection.
3. The above two steps must be carried out with sufficient linearity to reduce gain
suppression and intermodulation.
In this section, we explain the importance of the figure of merit in RF circuits
for the acoustic wave filter design. We will deal with the design of acoustic wave
filters in the next chapter. In addition, we describe the architecture utilised in actual
systems by focusing on the receiver system. Advanced digital processing in the
baseband is covered in many excellent textbooks and will not be discussed here.
Also, regarding the transmitter architecture, its important points are similar to those
of the receiver architecture, so it is not discussed in this section.
requiring a high transmission power of about 1 W, even for losses of 1 to 2 dB, 200
to 300 mW of power is wasted as heat.
Increasing the performance of band selection is key to improving the linearity.
A principal source of unnecessary signals in the out band is the transmitter paired
with the receiver system in the FDMA receiver. The leakage of signals from the
transmitter generates an unwanted offset and compresses the dynamic range in
which sufficient linearity is obtained in the receiver system. The isolation char-
acteristic between Rx and Tx is a crucial figure of merit for the duplexer used in
FDMA-based systems.
w/wIF
2wIF 0 wIF 0 1
w/wIF
2wIF 0 wIF 0 1
Figure 8.7 Performance trade-off between image rejection and channel selection
filters
in the spurious caused by the mixing (Figure 8.8). By using this architecture, while
obtaining a sufficient image rejection rate, it is possible to improve the signal-to-
noise (SN) ratio by including an amplifier and to enhance the effective Q-value of
the channel selection filter for the subsequent stage.
Architecture with zero second IF
We can recognise that it is difficult to reduce the cost, size and power consumption
in the configuration of Figure 8.8, where there are multiple interstage filters and
quartz oscillators. To overcome this difficulty, the second IF band should be set to
zero, meaning that the second frequency wLO coincides with the centre frequency
of the desired signal. As a result, the image signal becomes the desired signal itself
and an image rejection technique is no longer necessary.
By setting the IF to zero, the spectrum on one side becomes negative and is cut
down. In FM modulation, quadrature phase shift keying (QPSK), Gaussian-filtered
minimum shift keying (GMSK), quadrature amplitude modulation (QAM) and the
like, the signal quality is critically degraded since the frequency spectrum has
asymmetry with respect to the carrier frequency. As a countermeasure against this
deterioration, orthogonal downconversion is adopted. In orthogonal down-
conversion, downconversion is performed using two local oscillators whose phases
are orthogonal to each other (Figure 8.9). The two output signals, xBB,I(t) and xBB,Q
(t) in Figure 8.9, are called orthogonal baseband signals.
Using multiple quartz oscillators not only is unfavourable from the point of
view of cost and size reduction but also generates spontaneous frequency pulling
by mutual coupling among the oscillators. In many cases, the configuration in
Figure 8.10 using a frequency divider and a quartz oscillator is used in the architecture
with zero second IF.
Antenna
Band Image Channel Channel
selection LNA rejection Mixer selection Mixer selection IF Amp.
I II III IV V
BPF1 BPF2 BPF3 BPF4
LO1 LO2
Mixer IF Amp.
xBB,I(t)
coswLO2t
Antenna 90°
Band
selection LNA Mixer
BPF1 LO2
LO1 sinwLO2t
xBB,Q(t)
Mixer IF Amp.
Mixer IF Amp.
xBB,I(t)
coswLO2t
Antenna 90°
Band
selection LNA Mixer
÷2
BPF1
LO1
sinwLO2t
xBB,Q(t)
Mixer IF Amp.
Direct-conversion architecture
Considering Figures 8.9 and 8.10, a simpler architecture can be readily conceived,
as shown in Figure 8.11, which is called a direct conversion, zero-IF or homodyne
architecture. However, this architecture still has many problems and is a relatively
Filter and oscillator design using SAW/BAW resonators 247
Mixer IF Amp.
xBB,I(t)
cosωLO2t
Antenna
90°
Band
selection LNA
BPF1 LO2
sinωLO2t
xBB,Q(t)
Mixer IF Amp.
Radiation
LO leak
Antenna Self-mixing
(DC offset)
Reflection
Desired signal
LNA 0
LO1
new technology that finally entered the market in the 1990s to 2000s. In the direct-
conversion architecture, since the frequency of the LO is the same as the carrier
frequency of the desired signal, we encounter the following severe technical pro-
blems (see Figure 8.12):
(a) Problem of LO leakage: The impulse of the LO that radiates from the
antenna to the outside is called LO leakage. In direct conversion, since the
frequency of the LO coincides with the communication band, there is a pos-
sibility that the sensitivity of other receivers operating in the same band will
deteriorate. So, the LO leakage must be sufficiently suppressed in the RF
design.
248 MEMS resonator filters
(b) Problem of DC offset: Part of the LO leakage is reflected at the antenna end
and introduced into its own low-noise amplifier (LNA). The amplified signal
generates a large DC offset in the baseband by self-mixing. This large offset
squeezes the dynamic range of the signal processing in the baseband and
causes the saturation of the system in the worst case.
Moreover, when simplifying the system configuration, we must additionally
take countermeasures against the problems of even-order distortion and flicker
noise. We must also carefully consider the mismatches of the two orthogonal sig-
nals (I and Q signals) used in the downconversion. Many of these problems have
already been overcome by designing elaborate circuits including the system layout.
However, since the discussion of active circuits including the circuit layout is
beyond the scope of this book, readers are referred to some of the outstanding
textbooks already written on this topic.
8.2.3 Remarks
As some readers will have noticed, the evolution of the RF frontend has focused on
how to remove the interstage filters and crystal oscillators, which are off-chip com-
ponents, and how to integrate the RF system. Considering this trend, will antenna
duplexers become unnecessary in the future? The answer is no. In fact, the demand
for the duplexers used for band selection is still strong and shows no signs of
declining. This is caused by the number of communication standards continuing to
increase, mainly in the sub-6 GHz band, and the importance and complexity of band
selection are also increasing owing to the high demand for wireless communication.
The growing need for wireless communication is relevant to the emergence of
smartphones. Such new devices have many channels to connect the network,
explosively increasing the amount of data handled by individuals. The situation is
considerably different from the earlier phones that transmitted analogue voices with
occupied lines and exchanged simple text. Owing to the increase in communication
capacity, carrier aggregation (CA) using multiple bands simultaneously has
advanced, and the increased complication and parallelisation of the RF front-end
circuitry are being promoted. Consequently, the number of communication stan-
dards incorporated into a terminal has increased, and the current high demand for
duplexers is being maintained.
Resonator Busbar
Reflector
Reflector (a)
(b)
Dummy electrode
Piezoelectric substrate
(c)
Figure 8.13 SAW resonator configuration and variation of layout for apodisation
are some variations in the structure used for apodisation to suppress the transverse
mode orthogonal to the travelling direction of the SAW.
Among SAWs, Rayleigh-type SAWs are well known. However, from a prac-
tical viewpoint, Rayleigh-type SAWs, which have a relatively low propagation
velocity, are rarely used in communication devices. As the desired frequency
increases, the pitch of the IDT decreases, which is limited by the photolithography
technology and ohmic loss. Thus, a sufficiently high propagation speed is required.
Since the amplitude of the wave decreases with increasing frequency, the coupling
coefficient must also be kept high.
Here, we will expand the discussion from Rayleigh-type SAWs to SH-type
SAWs, Love-type SAWs and boundary wave devices in a stepwise manner. Before
discussing each type of SAW resonator, let us classify waves propagating through a
substrate. Unlike bulk waves propagating in an infinite medium, the concept of an
interface must be included when modelling SAW propagation, and waves are
classified into longitudinal (L), shear vertical (SV) and shear horizontal (SH) waves
as follows from the relationship between the interface, amplitude and propagation
direction (Figure 8.14):
L wave: A wave having an amplitude in the direction parallel to the propa-
gation direction. An L wave cannot propagate alone along with an interface.
SV wave: A wave having an amplitude in the direction perpendicular to the
propagation direction and interface. An SV wave cannot propagate alone
along with the interface.
SH wave: A wave orthogonal to the propagation direction and having an
amplitude in the direction parallel to the interface. It can propagate along
with the interface without coupling with another wave.
250 MEMS resonator filters
Surface
L
SV
SH
(a)
Rayleigh-type SAWs
Rayleigh-type SAWs consists of L and SV waves that cannot propagate alone on a
surface, but a couple and propagate while exchanging vibration energy with each
other. Since Rayleigh-type SAWs are generated by the coupling of pure mechanical
vibrations and can propagate in all media including anisotropic substrates, they are
not affected by electrical boundary conditions such as the piezoelectricity of the
substrate. Therefore, Rayleigh-type SAWs are unsuitable for application in prac-
tical electronic devices. Compared with SH-type SAWs, their low propagation
speed is one of the reasons why Rayleigh-type SAWs are not commonly used in
electronic devices. However, the Rayleigh-type SAW has an advantage that there is
little leakage into the substrate and the propagation loss is extremely small since its
propagation velocity is slower than the SV bulk wave into the substrate.
SH-type SAWs
SH waves can exist on a surface, as described above. In other words, since there is
no coupling of waves, such as that between an L wave and an SV wave in a
Rayleigh-type SAW, SH waves spread isotropically and attenuate conventionally
(Figure 8.14(a)). However, by selecting a suitable piezoelectric substrate and its
crystal orientation, SAWs can be generated using SH waves. These are Bleustein–
Gulyaev–Shimizu (BGS) waves [28–30]. In a BGS wave, piezoelectricity and an
SH wave are coupled and propagate on a surface while exchanging their energy.
The substrate and crystal orientations used to actually obtain BGS waves (SH-type
SAWs) are typically 41 YX LiNbO3, 64 YX LiNbO3 and 36 YX LiTaO3 [7,14].
For these particular orientations and materials, there is almost no leakage of
energy into the bulk substrate. Indeed, leakage into the substrate occurs when
Filter and oscillator design using SAW/BAW resonators 251
slightly changing the orientations. Such SH-type SAWs including leakage into the
substrate are called leaky SAWs (LSAWs) or pseudo-SAWs [31]. BGS waves are
special cases of LSAWs.
LSAWs are generated by the coupling between piezoelectricity and SH waves
and the piezoelectric effect depends on the properties of the piezoelectric material.
Therefore, SH-type SAWs using LSAWs can easily realise high electromechanical
coupling coefficients and excellent temperature characteristics compared with
Rayleigh-type SAWs. For this reason, more than 90% of SAW devices currently in
practical application use LSAWs.
Love-type SAW
Whether the leakage of an LSAW occurs is determined by the magnitude relation
between the velocity of the surface wave, in which the piezoelectricity and SH
wave undergo coupling, and the velocity of the bulk wave propagating into the
substrate. That is, if the velocity of the LSAW is sufficiently lower than the wave
velocity in the bulk, which indicates energy diffusion into the substrate, the LSAW
is trapped as a surface wave on the substrate without leakage loss. The LSAW
velocity can be adjusted by selecting the substrate orientation as described above,
but it is not possible to give an optimum solution for all performance characteristics
such as the temperature characteristic, coupling coefficient and mechanical loss.
The LSAW velocity can also be adjusted by depositing a heavy film that suppresses
the propagation velocity of the SAW. An SH-type SAW whose leakage has been
suppressed by the deposition of an additional film is called a Love-type SAW
[32,33]. By the way, in seismology, the Love wave can be observed in the area,
where the soft ground is accumulated on the hard ground, as a side-sliding-
vibration only in the soft ground.
An example of the use of Love-type SAWs is in a SAW device using a Cu
grating on a LiNbO3 substrate. The 0 YX LiNbO3 has a high electromechanical
coupling coefficient, but the bulk wave velocity is low and the loss due to the
energy leakage into the substrate cannot be ignored. In this case, Shimizu and
Mizunuma showed that the SH mode can be confined to the surface by using an Au
or Ag electrode with a low wave velocity in the bulk [34]. A Cu grating was
developed following this proposal. In actual developments, 15 YX LiNbO3 has
been used rather than 0 YX LiNbO3 to suppress spurious responses [35,36].
SiO2 is also an attractive deposition material with a low bulk wave velocity
and a specific negative temperature characteristic, and SiO2 films are widely used
as temperature compensation (TC) films for resonators. By depositing SiO2 on a
resonator, a Love-type SAW is obtained. In other words, SiO2 not only expands the
choice of substrates with a high coupling coefficient but also improves the tem-
perature characteristic. However, specific techniques are required to deposit the
film on an IDT. If the film is conformally deposited, the surface becomes bumpy,
reflecting the shape of the electrode. These bumps introduce unwanted spurious
responses into the frequency spectrum. As simple methods of obtaining a flat sur-
face, the deposition and firing of a spin-on-glass and polish planarisation are
commonly used. However, with these methods, it is difficult to precisely control
252 MEMS resonator filters
the SiO2 thickness. Thus, they are not commonly used in communication applica-
tions that require the matching of frequency bands. Depositing the oxide between
electrodes in advance to make the surface flat, then depositing the oxide again to
the desired thickness is one of the techniques used to eliminate the unwanted bumps
and spurious responses (Figure 8.15(a)) [37,38]. Dry etching after deposition is also
an effective method of reducing the bump size to a value smaller than the wave-
length of the Love wave (Figure 8.15(b)) [39,40].
Boundary wave resonators
When rigid plates are bonded with glue, vibrations are concentrated in the soft glue
and the bonding may break. Such vibrations in the soft layer are called Stoneley or
boundary waves [41,42]. This phenomenon has provided many suggestions for the
development of acoustic devices. SAW devices have vibrations on their surface, so
careful packaging is required. If a boundary wave can be utilised, the vibrating part
can be confined inside the substrate, enabling an ultra-compact acoustic device that
does not require a package to be accomplished.
Considering the breakdown of glue from the viewpoint of acoustics, it is
understood that the vibration energy is concentrated in the boundary layer with low
acoustic impedance when a layer with low acoustic impedance is sandwiched
between layers with high acoustic impedance. Shimizu’s report [34], which mentions
Love-type SAWs, provides an excellent insight into forming electronic devices with
layers of low acoustic impedance. That is, a boundary wave device is obtained by
fabricating an Au electrode with a low acoustic impedance on a piezoelectric sub-
strate (LiNbO3) and depositing SiO2 with a sufficient thickness, which has a higher
acoustic impedance than the Au electrode layer (Figure 8.16) [43–45].
As described later in the filter design section, a package-free configuration is
extremely effective for realising ultra-miniaturised filters. However, the package
<Ideal> <Real>
SiO2
(High-acoustic-impedance layer)
Displacement
not only protects the device but also acts as a passive component for adjusting the
impedance matching and the arrangement of the attenuation poles in the frequency
spectrum. The application of boundary wave devices should be carefully considered.
A B C D
Piezo-film
A
Coupling coefficient kt 2
Substrate
B
C
D
Bottom Bottom
electrode electrode
(a) (b)
Top electrode
Piezoelectric
film (AlN)
Bottom
electrode
Air gap
Si substrate
(c)
In an application where multiple resonators must be laid out with a high den-
sity, such as a ladder filter, the resonators suffer from capacitive coupling with each
other when using the structure shown in Figure 8.19(a) [24]. Thus, the structure
shown in Figure 8.19(b) is often practically used. In this structure, Bragg acoustic
reflectors are built in a swimming-pool-like structure fabricated on a silicon sub-
strate. The swimming-pool-like structure is the same as that in Figure 8.18(b) and is
fabricated by shallow etching and CMP.
As shown in Figure 8.14, the leak of vibrational energy into the substrate is
classified into three types. In the FBAR type, the air layer insulates the vibration
regardless of the type of vibration. On the other hand, in the SMR type, the leakage
of SV and SH waves cannot be ignored even if only the longitudinal vibration in the
thickness direction is used for the main resonance. The design of Bragg acoustic
reflection films requires not only the repeated deposition of layers with a thickness
of l/4. They are specialised to suppress the longitudinal waves but also optimisa-
tion to suppress the shear-type vibrations [50].
Since an air layer is ideal for acoustic isolation, SMR-type BAW resonators are
inferior to the FBAR type in terms of the Q-value. However, in the SMR type, a
256 MEMS resonator filters
Top electrode
Piezoelectric
film (AlN)
Bottom
electrode
Acoustic reflector
(a) Si substrate
Top electrode
Piezoelectric
Acoustic
film (AlN)
reflector
λ/4
λ/4
Bottom
High acoustic
electrode impedance material
Low acoustic
Acoustic reflector impedance material
Si substrate
(b)
multilayer film can be utilised to provide a high degree of design freedom that is
not available in the FBAR type. These attractive features will be discussed later in
this chapter.
Resonance
L1 C1 R1
Rs
R0 C0
|Y|
L1 C1 R1
Rs
Anti-resonance
Frequency
L1 C1 R1
C0
(a) Butterworth–Van-Dyke (BVD) equivalent circuit
I1
L1 C1 R1
Rs
R0 C0
I0
(b) Modified BVD (MBVD) equivalent circuit
wa L1 1 1 1
Qal ¼ ¼ þ (8.2)
R1 þ R0 ðR1 þ R0 Þwa C1 C0
where wr and wa are the following angular resonance and anti-resonance fre-
quencies, respectively.
1
w2r ¼ (8.3)
L1 C1
1 1 1
wa ¼
2
þ (8.4)
L1 C1 C0
258 MEMS resonator filters
The frequency band where mechanical resonance can act is also of interest. The
electromechanical coupling coefficient kt2 is widely used as an evaluation parameter
for the width of such a frequency band. The effective electromechanical coupling
coefficient keff2 is more directly related to the passband width of filter applications and
is also used as an evaluation parameter. kt2 and keff2 are defined as follows:
p fr p fa fr
kt ¼
2
tan
2 fa 2 fa
(8.5)
p2 fr fa fr p2 C1 C0 C1
¼
4 fa fa 8 C0 C0
fa2 fr2
2
keff ¼ (8.6)
fa2
where fr and fa are the resonance and anti-resonance frequencies, respectively.
Here, we mention a problem when using the above coupling coefficient as an
evaluation parameter for the double-resonance characteristic. Upon decreasing
the electromechanical coupling coefficient, the resonance and anti-resonance fre-
quencies become close to each other. In this case, the resonance and anti-resonance
peaks become sharper, and the Q-value of each resonance increases. Conversely, if
the coupling coefficient is increased, the Q-value is degraded. This is called the Q-k
trade-off. The electromechanical coupling coefficient is suppressed when a para-
sitic capacitance is connected to the resonator in parallel or when the vibration of
the resonator is mechanically constrained. The improvement of the Q-factor due to
the degradation of the coupling coefficient is not relevant to the reduction of the
loss element of the resonator. To improve the resonator performance, it is necessary
to pay attention to the superficial improvement resulting from the Q-k trade-off.
Also, the Q-f trade-off is a bothersome problem in the characterisation of
resonators. It is a factor of this trade-off that increasing loss cycles per unit time
with increasing frequency. Although this is not superficial, there is a cautionary
point when discussing the Q-f trade-off. Even with resonators of the same dimen-
sions, an increase in the operation frequency causes the input impedance to
decrease. In such a case, the resonance and anti-resonance Q-values are estimated,
respectively, larger and smaller than the actual loss. Therefore, the relationship
between the resonance frequency and the Q-value is not a simple inversely pro-
portional one and has a strong design dependence.
Bode-Q is useful for evaluating the mechanical Q of a resonator separately
from its electrical characteristics. Although Bode-Q is defined by (8.7), which is
based on the MBVD equivalent circuit, (8.9) is now more generally employed since
it can be used to calculate Q regardless of the spurious response [52,53].
w C0 V ðf Þ2 þ C1 V ðf Þ2 þ L1 I1 ðf Þ2
Qm ðf Þ ¼ (8.7)
2 R1 I1 ðf Þ2 þ R0 I0 ðf Þ2 þ Rs ðI1 ðf Þ þ I0 ðf ÞÞ2
df jGðf Þj
Qm ðf Þ ¼ w (8.8)
dw 1 jGðf Þj2
Here, f and G are the phase and reflection coefficients, respectively.
Filter and oscillator design using SAW/BAW resonators 259
Filter design
In the early 1970s, SAW transversal filters were widely used as IF filters for TV
tuners. A transversal filter is a combination of two IDTs, as shown in Figure 8.22
[54–56]. By weighting the input IDTs, it is possible to design a frequency spectrum
and phase characteristics with a high degree of freedom. The drawback of trans-
versal filters is a high insertion loss. When two IDTs are moved close to each other
to reduce the insertion loss, as shown in Figure 8.23, mode coupling is induced, and
Z
|S12 |
Frequency
Z
|S12|
Displacement
Frequency
it is possible to obtain a filter characteristic with high sharpness while also reducing
the insertion loss [57,58]. Such a filter is called a double-mode SAW (DMS) filter
because it is based on a principle different from the design of transversal filters
using propagation characteristics. As shown in Figure 8.24, there are several var-
iations of the DMS filter depending on the coupled modes. The concept of DMS
filters can be applied to BAW resonators as shown in Figure 8.25. Such BAW
filters are called stacked crystal filters (SCFs) [59–61].
A ladder filter topology has been proposed to utilise the double-resonance
characteristics of SAW/BAW resonators and is widely used for wireless application
nowadays [17,18]. The ladder filter uses two types of resonators having different
resonance frequencies, and high-frequency and low-frequency resonators are con-
nected to series and parallel branches, respectively (Figure 8.26). Thus, the filter
characteristics are obtained by the electrical coupling of two types of resonators.
Z Z
Z Z
Figure 8.25 Stacked crystal filter (SCF) and its similarity to the DMS filter
Filter and oscillator design using SAW/BAW resonators 261
Cp Cp
Cs Cs
Cp Cp
|S12 | Frequency
The advantages of ladder filters area low insertion loss and a steep roll-off char-
acteristic due to the high Q of the acoustic resonators. The acoustic resonators
are electrically coupled in the ladder filter, and the design theory is based on the
conventional constant-K-type filter. Setting the nominal impedance to R, the capaci-
tances of the series and parallel resonators, Cs and Cp, have the following relationship:
1
R¼ (8.9)
w2c Cs Cp
where wc is the centre angular frequency of the filter.
The ratio of Cs to Cp is determined by the trade-off between the insertion loss
of the passband and the out-of-band suppression level. Also, the stage number of
filters is determined by the trade-off between the insertion loss and the steepness at
the band edge. One of the drawbacks of ladder filters is flyback due to the capa-
citive pass in the wideband characteristics. Thus, as shown in Figure 8.27, an
attenuation pole must be deployed in the frequency spectrum by connecting the
inductance to the parallel resonator in series or the series inductor in parallel. These
inductors are often fabricated in a ceramic package.
Cs Cs Cs Cs
Cp Cp Cp Cp
Cs Cs/2 Cs
|S12 |
2Cp 2Cp
Cs/2 Frequency
Cs
Cs
|S12 |
2Cp 2Cp
Frequency
Tx Rx
Attenuation
Frequency
Phase
CS_Tx CS_Tx shifter CS_Rx CS_Rx
CP_Tx CP_Rx
Qr Qa spurious
P Not important Important Not important
Tx
S Important Important Crucial
8 7.5
7.5
7.07
k 2 (%)
6
k eff 2t (%)
6.5
6.5
k t 2 (%)
4 6.06
Ir Cu 00 0.1
0.1
0.2 0.3 0.4
0.2 0.5
2tm/t/t p
tmetal piezo
W Ag
Pt Pb
2
Mo In
Al Si f r=2 GHz
Electrode Piezo
z o Higher Za
El
Pi
El
ez
ec
ec
o
tro
tro
de
de
≈cos(ke z + θ)
≈cos(kp z)
Electric
field
Stress
field
z o z o z o
El
El
El
El
Pi
El
El
Pi
Pi
ez
ec
ec
ec
ec
ez
ez
ec
ec
o
tro
tro
tro
tro
o
tro
tro
de
de
de
de
de
de
{001}
{101}
N
AI {100}
c-axis
{101}
{001} a-axis
(a) Crystal structure (b) Unit cell
Therefore, the bandwidth of the filter has thus far been limited by the coupling
constant of AlN [67]. AlN has a wurtzite structure, as shown in Figure 8.31. When
substituting a Sc atom into an Al site and deforming the crystal structure, the a- and
c-axes are expanded and compressed, respectively. The c-axis is a piezoelectric axis
and the proximity of Al and N atoms resulting from the doping contributes to the
increase in piezoelectricity [68].
If the ease of substitution at the Al site is estimated from the formation of
energy by first-principles calculation, the extremely low formation energy of Sc
suggests its superiority as a dopant. In fact, the experimental search for dopants as
alternatives to Sc has not achieved significant results. As a possible alternative to
the doping of Sc, there is a method of co-doping in consideration of the charge
neutralisation conditions. Specifically, the co-doping of Mg–Hf or Mg–Zr is per-
formed. Using this co-doping technology, the piezoelectricity can be enhanced as
much as by Sc doping [69,70].
8.4.2 Improvement of Q
The mechanical loss can be reduced in various ways, but the suppression of leakage
and the unwanted vibration mode are the main approaches. Regarding the sup-
pression of leakage, the first step is to trace the radiation pattern of the vibration
from the resonator by laser-based optical observation. For example, in a BAW
device, the radiation pattern is observed around the anti-resonance frequency, and
the electrode edge is often thickened to confine the vibration energy within the
resonator (Figure 8.32(b)) [71,72]. The vibration energy can also be confined by
removing the piezoelectric material at the periphery of the resonator (Figure 8.32(c))
[73,74].
The suppression of unwanted vibration can be analysed by carrying out a two-
dimensional Fourier transformation of optically observed images. Vibration with a
displacement perpendicular to the polarisation axis of the piezoelectric material
results in a loss of vibration energy. In the case of BAW devices, since the polar-
isation axis is generally perpendicular to the wafer surface, it is not easy to clearly
observe the vibration mode that becomes a loss. In this case, the analysis of BAW
266 MEMS resonator filters
Top electrode
Bottom electrode
(a) Normal structure
Step edge
(b)
(c)
has poor adhesion to metal films and the optimisation of the manufacturing flow is
necessary. In addition, since the acoustic loss of SiO2 is not very small, when it is
vibrated as part of a resonator, particularly when it is adopted in a BAW device, it
is essential to reduce the acoustic loss by plasma reforming or by removing the
impurities and voids.
To reduce the acoustic loss of a temperature compensation film, doping into
the SiO2 film and increasing the temperature coefficient allow the thickness of the
temperature compensation film to be reduced. A typical dopant is fluorine (SiOF)
[81–83].
Metal
x3
Piezo
x2 x1
Metal
where Tij, Di, Sij and Ei are the components of stress, electrical displacement, strain
and electric field, respectively. r is the mass density, u is the mechanical dis-
placement, j is the potential, and cijklE, ekij and eikS are the elastic, piezoelectric and
dielectric constants, respectively.
Material constants – The arrays of elastic, piezoelectric and dielectric con-
stants for a piezoelectric material poled in the x3 direction are
0 1
c11 c12 c13 0 0 0
Bc 0 C
B 12 c11 c13 0 0 C
B C
B c c c 0 0 0 C
cEpq ¼ B C
13 13 33
B 0 0 C
B 0 0 c44 0 C
B C
@ 0 0 0 0 c44 0 A
0 0 0 0 0 c66
1
c66 ¼ ðc11 c12 Þ (8.16)
2
0 1
0 0 0 0 e15 0
eip ¼ @ 0 0 0 e15 0 0 A
e31 e31 e33 0 0 0
0 1
e11 0 0
eSij ¼ @ 0 e11 0 A;
0 0 e33
Filter and oscillator design using SAW/BAW resonators 269
u2 ¼ 0
X
3
ðiÞ
u3 ¼ ejðwtxx1 Þ Ap3 ðCpðiÞ sin hðiÞ x3 þ DðiÞ ðiÞ
p cos h x3 Þ
i¼1
X
3
j ¼ ejðwtxx1 Þ BðiÞ ðiÞ ðiÞ ðiÞ ðiÞ
p ðCp sin h x3 þ Dp cos h x3 Þ (8.19)
i¼1
The following simultaneous equations are also obtained for the top and bottom
electrodes, similarly to the piezoelectric film:
c x2 þ c h0 2 rw2 ðc13 þ c44 Þxh0
11 44
¼0 (8.20)
ðc44 þ c13 Þxh 0 0
c44 x þ c33 h 2 rw
2 2
270 MEMS resonator filters
where h0 and cpq is the propagation constant in the thickness direction and the
elastic constant in the electrode, respectively.
Solving (8.20), we can obtain the following general solutions for the bottom
and top electrodes:
X
2
ðiÞ ðiÞ ðiÞ
ut;b1 ¼ jejðwtxx1 Þ At;b1 ðCt;b sin h0 ðiÞx3 Dt;b cos h0 ðiÞx3 Þ
i¼1
ut;b2 ¼ 0
X
2
ðiÞ ðiÞ ðiÞ
ut;b3 ¼ ejðwtxx1 Þ At;b3 ðCt;b sin h0 ðiÞx3 þ Dt;b cos h0 ðiÞx3 Þ (8.21)
i¼1
Subscripts t and b denote variables in the top and bottom electrodes, respec-
tively. Substituting (8.19) and (8.21) into the boundary conditions, we obtain the
linear homogeneous algebraic equations of Cp(i), Dp(i), Ct,d(j) and Dt,d(j) (i ¼ 1,2,3,
j ¼ 1,2). The roots of the determinant of this matrix give the relationship between w
and x. This relationship is used to draw a dispersion diagram. However, the
determinant is a transcendental equation; thus, a numerical method is required to
obtain the dispersion diagram.
Figure 8.34 shows the dispersion curve when Mo and AlN are respectively
used as the electrodes and piezoelectric film in the configuration shown in
Figure 8.33. Here, the propagation constant and frequency are normalised as
w
W¼ qffiffiffiffi
E
ffi (8.22)
p c44
2H r
x
G¼ (8.23)
p=2H
where 2H and r are the thickness and density of the AlN film, respectively.
In this figure, the curve labelled by S indicates the symmetric mode, and the
curve labelled by A indicates the asymmetric mode. The subscript shows the order
of the mode. Also, TE indicates the thickness extension vibration mode and TS
indicates the thickness shear mode. The propagation constant is a complex number,
and TS1 and TE1, and A1 and A0 are connected by a branch on the imaginary-
number plane. AlN-based BAW devices use the fundamental mode of TE, so point
P on the TE branch corresponds to the resonance frequency.
The positional relationship of the TS1 and TE1 branches on the dispersion
diagram can be changed by changing Poisson’s ratio s. If s is less than 1/3, the TE
branch becomes lower in frequency than the TS branch, and if it is more than 1/3,
the TE branch becomes higher in frequency than the TS branch. s is 0.39 (>1/3) for
ZnO and 0.25 (<1/3) for AlN. For example, when ZnO and AlN are used as the
piezoelectric film of a BAW resonator, the dispersion curves are those shown in (a)
and (b) of Figure 8.35, respectively.
Filter and oscillator design using SAW/BAW resonators 271
TS2 TE1 A1
1.2
1.0
Normalised angular frequency W
S0
0.8
A0
TE1
P
0.6
0.4
0.2
0.4 0.2 0.2 0.6 0.8 1.0 1.2
0
Imaginary Real
Normalised propagation constant ᴦ
w w TS w
TE
TE’
P
TS
TS TE
P
TE’’
<Type >׀
w
Cutoff state
Resonance frequency
Electrode region
Peripheral region Evanescent Energy
trapped
Imaginary x Real x
<Type >׀׀
Electrode region
w
Cutoff
state
Peripheral region
Resonance
frequency
Travelling wave
(energy leak)
Imaginary x Real x
The above concept is applied to quartz and ceramic oscillators in which the
electrode is extremely thin relative to the piezoelectric layer. In thin-film BAW
devices, the electrode is relatively thick, and the electrode effect should be con-
sidered as not only a surface shortening effect but also a mass loading effect.
Therefore, the relationship between the dispersion curves in the electrode and
peripheral regions is that shown in Figure 8.36, and the leakage of vibrational
energy is caused by coupling to other vibrational modes. The degree of coupling is
described in detail in, for example, [84,85].
In a thin-film BAW resonator, to realise an energy-trapping effect similar to
that in a quartz crystal or ceramic resonator, it is necessary to shift the frequency of
the dispersion curve in the electrode region without using the peripheral region. For
example, as shown in Figure 8.37, in a type-I device, the electrode edge is thinned,
and in a type-II device, the electrode edge is thickened, as already partly illustrated
in Figure 8.32. However, this method causes a slight decrease in the coupling
coefficient because the edge regions act as parallel capacitance.
<Type >׀׀
w
<Type >׀
Cutoff state
Resonance
Cutoff state
frequency Resonance
frequency
Electrode region Electrode region Edge region Electrode region Edge region
Peripheral region Peripheral region Peripheral region
Apodising figure
Simple figure Apodising figure Simple figure Elliptical shape
Non-square
(a) (b)
Close up
Attenuation
Attenuation
technology for the suppression of spurious responses is essential for the application
to filters and duplexers.
As shown in Figure 8.39, spurious responses can be apodised by a non-square
or elliptical resonator. However, since these apodisations do not eliminate the
spurious response, the insertion loss is increased even if the spurious responses can
be made small, as shown in Figure 8.39(c), when applying a filter. Additionally,
from the viewpoint of chip area efficiency, the irregular shapes shown in
Figure 8.39 are not desirable.
Filter and oscillator design using SAW/BAW resonators 275
Distortion
Distortion
Distortion
Distortion region
Electrode
Piezo
Electrode
Piezo
Region with
lower acoustic velocity
<Type >׀׀
w
Evanescent
mode
Resonance Short No mode
frequency wavelength
<Type >׀
TE
TS TS
TE
TS
s >1/3
TE TE
tox_topmost ªl/4
s <1/3
TS
tox_topmost >>l/4
Mode shape
Peripheral Peripheral
region region
(Busbar) Resonator (Busbar)
region
Acoustic
velocity
frequency
Cutoff
8.5 Summary
We have introduced the operation principle and methods for improving the char-
acteristics of SAW and BAW devices in this chapter. Among the various MEMS
resonators, SAW and BAW devices have been among the most successfully com-
mercialised fields, particularly for wireless RF applications. Therefore, we have
described the communication architecture, which is the common ground in device
Filter and oscillator design using SAW/BAW resonators 279
References
[1] Rayleigh L. ‘On waves propagating along the plane surface of an elastic
solid’. Proceedings of London Mathematical Society. 1885;s1–17(1):4–11
[2] White R.M., and Voltmer F.W. ‘Direct piezoelectric coupling to surface
elastic waves’. Applied Physics Letters. 1965;7(12):314–16
[3] Martin T.A. ‘The IMCON pulse compression filter and its applications’. IEEE
Transactions on Microwave Theory and Techniques. 1973;21(4):186–94
[4] Williamson R.C., and Smith H.I. ‘The use of surface-elastic-wave reflection
gratings in large time-bandwidth pulse-compression filters’. IEEE Transactions
on Microwave Theory and Techniques. 1973;21(4):195–205
[5] Hartmann C.S., Bell Jr. D.T., and Rosenfeld R.C. ‘Impulse model design of
acoustic-wave filters’. IEEE Transactions on Microwave Theory and
Techniques. 1973;21(4):162–75
[6] Kino G.S., Lufvik S., Shaw H.J., Shereve W.R., White J.M., and Winslow D.K.
‘Signal processing by parametric interactions in delay-line devices’. IEEE
Transactions on Microwave Theory and Techniques. 1973;21(4):244–55
[7] Gerard H.M., Smith W.R., Jones W.R., and Harrington J.B. ‘The design and
applications of highly dispersive acoustic surface-wave filters’. IEEE
Transactions on Microwave Theory and Techniques. 1973;21(4):176–86
[8] Bell Jr. D.T., and Li R.C.M. ‘Surface-acoustic-wave resonators’.
Proceedings of the IEEE. 1976;64(5):711–21
[9] Hays R.M., and Hartmann C.S. ‘Surface-acoustic-wave devices for commu-
nications’. Proceedings of the IEEE. 1976;64(5):652–71
[10] Devries A.J., and Adler R. ‘Case history of a surface-wave TV IF filter for
color television receivers’. Proceedings of the IEEE. 1976;64(5):671–6
[11] Takahashi S., Hirano H., Kodama T., et al. ‘SAW IF filter on LiTaO3 for color
TV receivers’. IEEE Transactions on Consumer Electronics. 1978;24(3):337–48
[12] Shibayama K., Yamanouchi K., Sato H., and Meguro T. ‘Optimum cut for
rotated Y-cut LiNbO3 crystal used as the substrate of acoustic-surface-wave
filters’. Proceedings of the IEEE. 1976;64(5):595–7
[13] Yamanouchi K., and Shibayama K. ‘Propagation and amplification of
Rayleigh waves and piezoelectric leaky surface waves in LiNbO3’. Journal of
Applied Physics. 1972;43(3):856–62
[14] Nakamura K., Kazumi M., and Shimizu H. ‘SH-type and Rayleigh-type
surface waves on rotated Y-cut LiTaO3’. Proceedings of Ultrasonics
Symposium; Phoenix, USA, Oct. 1977, pp. 819–22
280 MEMS resonator filters
[30] Ohta Y., Nakamura K., and Shimizu H. ‘Piezoelectric surface shear waves’.
The Institute of Electronics, Information, and Communication Engineering
(IEICE) Technical Report. 1969; US69-3 (in Japanese)
[31] Engan H., Ingebrigsten K.A., and Tonning A. ‘Elastic surface waves in a-
quartz: observation of leaky surface waves’. Applied Physics Letters,
1967;10(11): 312–13
[32] Auld B.A. Acoustic waves and fields in solids Vol.2. New York: Wiley and
Sons; 1973. pp. 135–61
[33] Love A.E.H. Some problems of geodynamics. Cambridge: Cambridge
University Press; 2015. pp. 144–78
[34] Shimizu H., and Mizunuma Y. ‘Piezoelectric substrate for SAW devices
with very high electromechanical coupling coefficient (K2 0.3)’. The
Institute of Electronics, Information, and Communication Engineering
(IEICE) Technical Report. 1982; US82-35 (in Japanese)
[35] Hashimoto K., Asano H., Omori T., and Yamaguchi M. ‘Ultra-wideband
surface acoustic wave devices using Cu-grating/rotated-YX-LiNbO3-sub-
strate structure’. Japanese Journal of Applied Physics. 2004;43(5B):3063–6
[36] Hashimoto K., Asano H., Matsuda K., Yokoyama N., Omori T., and
Yamaguchi M. ‘Wideband Love wave filters operating in GHz range on
Cu-grating/rotated-YX-LiNbO3-substrate structure’. Proceedings of IEEE
Ultrasonics Symposium; Montreal, Canada, Aug. 2004, pp. 1330–4
[37] Kadota M., Nakao T., Taniguchi N., et al. ‘SAW substrate with coupling
factor and excellent temperature stability suitable for duplexer of PCS in
US’. Proceedings of IEEE Ultrasonics Symposium; Montreal, Canada, Aug.
2004, pp. 1970–5
[38] Kadota M., Nakao T., Taniguchi N., et al. ‘Surface acoustic wave duplexer
for US personal communication service with good temperature coefficient’.
Japanese Journal of Applied Physics. 2005;44(6B):4527–31
[39] Takayama R., Nakanishi H., Iwasaki Y., Sakuragawa T., and Fujii K. ‘US-
PCS SAW duplexer using high-Q SAW resonator with SiO2 coat for stabi-
lizing temperature characteristics’. Proceedings of IEEE Ultrasonics
Symposium; Montreal, Canada, Aug. 2004, pp. 959–62
[40] Nakamura H., Nakanishi H., Tsurunari T., Matsunami K., and Iwasaki Y.
‘A small-sized SAW duplexer on a SiO2/IDT/LiNbO3 structure for wideband
CDMA application’. Proceedings of IEEE Ultrasonics Symposium; New York,
USA, Oct. 2007, pp. 488–91
[41] Stoneley R. ‘Elastic waves at the surface of separation of two solids’.
Proceedings of the Royal Society of London. 1924;A106(738):416–28
[42] Maerfeld C., and Tournois P. ‘Pure shear elastic surface wave guided by the
interface of two semi-infinite media’. Applied Physics Letters. 1971;19(4):
117–18
[43] Yamashita T., Hashimoto K., and Yamaguchi M. ‘Highly piezoelectric
shear-horizontal-type boundary waves’. Japanese Journal of Applied
Physics. 1997;36(5B):3057–9
282 MEMS resonator filters
[44] Yamaguchi M., Yamashita T., Hashimoto K., and Omori T. ‘Highly piezoelectric
boundary waves in Si/SiO2/LiNbO3 structure’. Proceedings of IEEE International
Frequency Control Symposium; Pasadena, USA, May 1998, pp. 484–8
[45] Kando H., Yamamoto D., Mimura M., et al. ‘FR filter using boundary
acoustic wave’. Proceedings of IEEE Ultrasonics Symposium; Vancouver,
Canada, Oct. 2006, pp. 188–91
[46] Mishin S., Marx D.R., Sylvia B., Lughi V., Turner K.L., and Clarke D.R.
‘Sputtered AlN thin films on Si and electrodes for MEMS resonators: rela-
tionship between surface quality microstructure and film properties’.
Proceedings of IEEE Ultrasonics Symposium; Honolulu, USA, Oct. 2003,
pp. 2028–32
[47] Mishin S., Sylvia B., and Marx R. ‘Improving manufacturability of AlN
deposition used in making bulk acoustic wave devices’. Proceedings of IEEE
Ultrasonics Symposium; Rotterdam, the Netherlands, Sept. 2005, pp. 215–18
[48] Taniguchi S., Yokoyama T., Iwaki M., Nishihara T., Ueda M., and Satoh Y.
‘An air-gap type FBAR filter fabricated using a thin sacrificial layer on a
flat substrate’. Proceedings of IEEE Ultrasonics Symposium; New York,
USA, Oct. 2007, pp. 600–3
[49] Lakin K.M., McCarron K.T., and Rose R.E. ‘Solidly mounted resonators and
filters’. Proceedings of IEEE Ultrasonics Symposium; Seattle, USA, Nov.
1995, pp. 905–8
[50] Marksteiner S., Kaitila J., Fattinger G.G., and Aigner R. ‘Optimisation of
acoustic mirrors for solidly mounted BAW resonators’. Proceedings of IEEE
Ultrasonics Symposium; Rotterdam, the Netherlands, Sept. 2005, pp. 329–32
[51] Larson III J.D., Bradley P.D., Wartenberg S., and Ruby R.C. ‘Modified
Butterworth–Van Dyke circuit for FBAR resonators and automated mea-
surement system’. Proceedings of IEEE Ultrasonics Symposium; San Juan,
USA, Oct. 2000, pp. 863–8
[52] Feld D.A., Parker R., Ruby R., Bradley P., and Dong S. ‘After 60 years: a
new formula for computing quality factor is warranted’. Proceedings of
IEEE Ultrasonics Symposium; Beijing, China, Nov. 2008, pp. 431–6
[53] Ruby R., Parker R., and Feld D.A., ‘Method of extracting unloaded Q
applied across different resonator technologies’. Proceedings of IEEE
Ultrasonics Symposium; Beijing, China, Nov. 2008, pp. 1815–18
[54] Hartmann C.S. ‘Weighting interdigital surface wave transducers by selective
withdrawal of electrodes’. Proceedings of IEEE Ultrasonics Symposium;
Monterey, USA, Nov. 1973, pp. 423–6
[55] Tancrell R.H., and Holland M.G. ‘Acoustic surface wave filters’.
Proceedings of IEEE. 1971;59(3):393–409
[56] McClellan J.H., Parks T.W., and Rabiner L.R. ‘A computer program for
designing optimum FIR linear phase digital filters’. IEEE Transactions on
Audio and Electroacoustics. 1973;AU-21(6):506–26
[57] Morita T., Watanabe Y., Tanaka M., and Nakazawa Y. ‘Wideband low loss
double mode SAW filters’. Proceedings of IEEE Ultrasonics Symposium;
Tucson, USA, Oct. 1992, pp. 95–104
Filter and oscillator design using SAW/BAW resonators 283
[58] Tanaka M., Morita T., Ono K., and Nakazawa Y. ‘Narrow bandpass filter
using double-mode SAW resonators on quartz’. Proceedings of the 38th
Frequency Control Symposium; Philadelphia, USA, May 1984, pp. 286–93
[59] Ballato A., and Lukaszek T. ‘A novel frequency selective device; the
stacked-crystal filter’. Proceedings of the 27th Annual Symposium on
Frequency Control; Cherry Hill, USA, Jun. 1973, pp. 262–9
[60] Stokes R.B., and Crawford J.D. ‘X-band thin film acoustic filters on GaAs’.
IEEE Transactions on Microwave Theory and Techniques. 1993;42(6):
1075–80
[61] Lakin K.M., Belsick J., McDonald J.F., and McCarron K.T. ‘High perfor-
mance stacked crystal filters for GPS and wide bandwidth applications’.
Proceedings of IEEE Ultrasonics Symposium; Atlanta, USA, Oct. 2001,
pp. 833–8
[62] Lakin K.M., Belsick J., McDonald J.F., and McCarron K.T. ‘Improved bulk
wave resonator coupling coefficient for wide bandwidth filters’. Proceedings
of IEEE Ultrasonics Symposium; Atlanta, USA, Oct. 2001, pp. 827–31
[63] Yokoyama T., Nishihara T., Taniguchi S., et al. ‘New electrode material for
low-loss and high-Q FBAR filters’. Proceedings of IEEE Ultrasonics
Symposium; Montreal, Canada, Aug. 2004, pp. 429–32
[64] Bradley P., Kim J., Ye S., Nikkel P., Bader S., and Feng C. ‘2X size and cost
reduction of film bulk acoustic resonator (FBAR) chips with tungsten elec-
trodes for PCS/GPS/800 MHz multiplexers’. Proceedings of IEEE
Ultrasonics Symposium; New York, USA, Oct. 2007, pp. 1144–7
[65] Devos A., Olivares J., Clement M., Iborra E., Rimmer N., and Rastogi A.
‘Picosecond ultrasonics as a helpful technique for introducing a new elec-
trode material in BAW technology: the iridium case’. Proceedings of IEEE
Ultrasonics Symposium; New York, USA, Oct. 2007, pp. 1443–6
[66] Kaitila J. ‘Review of wave propagation in BAW thin film devices - progress
and prospects’. Proceedings of IEEE Ultrasonics Symposium; New York,
USA, Oct. 2007, pp. 120–9
[67] Muralt P., Antifakos J., Cantoni M., Lanz R., and Martin F. ‘Is there a better
material for thin film BAW applications than AlN?’. Proceedings of
IEEE Ultrasonics Symposium; Rotterdam, the Netherlands, Sept. 2005,
pp. 315–20
[68] Akiyama M., Kamohara T., Kano K., Teshigawara A., Takeuchi Y., and
Kawahara N. ‘Enhancement of piezoelectric response in scandium alumi-
nium nitride alloy thin films prepared by dual reactive cosputtering’.
Advanced Materials. 2009;21(5):593–6
[69] Iwazaki Y., Yokoyama T., Nishihara T., and Ueda M. ‘Highly enhanced
piezoelectric property of co-doped AlN’. Applied Physics Express. 2015;8(6):
061501
[70] Yokoyama T., Iwazaki Y., Onda Y., Nishihara T., Sasajima Y., and Ueda M.
‘Effect of Mg and Zr co-doping on piezoelectric AlN thin films for bulk
acoustic wave resonators’. IEEE Transactions on Ultrasonics, Ferroelectrics,
and Frequency Control. 2014;61(8):1322–8
284 MEMS resonator filters
[71] Feng H., Fazzio S., Ruby R., and Radley P. Thin film bulk acoustic resonator
with a mass loaded perimeter, US7280007B2, Oct. 9, 2007
[72] Nishihara T., Hara M., Taniguchi S., Iwaki M., Yokoyama T., and Ueda M.
‘Piezoelectric thin film resonant element and circuit component using the
same’. US8125123B2, Feb. 28, 2012
[73] Tsutsumi J., Iwaki M., Iwamoto Y., et al. ‘A miniaturized FBAR duplexer with
reduced acoustic loss for the W-CDMA application’. Proceedings of IEEE
Ultrasonics Symposium; Rotterdam, the Netherlands, Sept. 2005, pp. 93–6
[74] Hara M., Ueda M., and Satoh Y. ‘A thin-film bulk acoustic resonator and filter
with optimal edge shapes for mass production’. Ultrasonics. 2013;53(1):90–6
[75] Takai T., Iwamoto H., Takamine Y., et al. ‘High-performance SAW resonator
on new multi-layered substrate using LiTaO3 crystal’. IEEE Transactions on
Ultrasonics, Ferroelectrics, and Frequency Control. 2017;64(9):1382–9
[76] Kadota M., and Tanaka S. ‘Wideband acoustic wave resonators composed of
hetero acoustic layer structure’. Japanese Journal of Applied Physics.
2018;57(7s1):07LD12
[77] Gomi M., Kataoka T., Hayashi J., and Kakio S. ‘High-coupling leaky surface
acoustic waves on LiNbO3 or LiTaO3 thin plate bonded to high-velocity
substrate’. Japanese Journal of Applied Physics. 2017;56(7s1):07JD13
[78] Inoue S., and Solal M. ‘Spurious free SAW resonators on layered substrate
with ultra-high Q, high coupling and small TCF’. Proceedings of IEEE
Ultrasonics Symposium; Kobe, Japan, Oct. 2018
[79] Miura M., Matsuda T., Ueda M., et al. ‘Temperature compensated LiTaO3/
sapphire SAW substrate for high power applications’. Proceedings of IEEE
Ultrasonics Symposium; Rotterdam, the Netherlands, Sept. 2005, pp. 573–6
[80] Parker T.E., and Wichansky H. ‘Temperature-compensated surface-acoustic-
wave devices with SiO2 film overlays’. Journal of Applied Physics. 1979;50(3):
1360–9
[81] Lee S., and Park J. ‘Effect of fluorine on dielectric properties of SiOF films’.
Journal of Applied Physics. 1996;80(9):5260–3
[82] Yoshimaru M., Koizumi S., and Shimokawa K. ‘Structure of fluorine-doped
silicon oxide films deposited by plasma-enhanced chemical vapor deposi-
tion’. Journal of Vacuum Science and Technology A. 1997;15(6):2908–14
[83] Matsuda S., Hara M., Miura M., et al. ‘Use of fluorine-doped silicon oxide
for temperature compensation of radio frequency surface acoustic wave
devices’. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency
Control. 2012;59(1):135–8
[84] Thalmayr F., Hashimoto K., Omori T., and Yamaguchi M. ‘Frequency domain
analysis of Lamb wave scattering and application to film bulk acoustic reso-
nators’. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency
Control. 2010;57(7):1641–7
[85] Thalmayr F., Hashimoto K., Ueda M., Omori T., and Yamaguchi M.
‘Quantitative analysis of power leakage in a film bulk acoustic resonator device
at the antiresonance frequency’. Japanese Journal of Applied Physics. 2010;
49(7s):07HD11
Filter and oscillator design using SAW/BAW resonators 285
[86] Kaitila J., Ylilammi M., Ella J., and Aigner R. ‘Spurious resonance free bulk
acoustic wave resonator’. Proceedings of IEEE Ultrasonics Symposium;
Honolulu, USA, Oct. 2003, pp. 84–7
[87] Thalhammer R., Kaitila J., Zieglmeier S., and Elbrecht L. ‘Spurious mode
suppression in BAW resonators’. Proceedings of IEEE Ultrasonics
Symposium; Vancouver, Canada, Oct. 2006, pp. 456–9
[88] Fattinger G.G., Marksteiner S., Kaitila J., and Aigner R. ‘Optimisation of
acoustic dispersion for high performance thin film BAW resonators’.
Proceedings of IEEE Ultrasonics Symposium; Rotterdam, the Netherlands,
Sept. 2005, pp. 1175–8
[89] Solal M., Gratier J., Aigner R., et al. ‘Transverse modes suppression and loss
reduction for buried electrodes SAW devices’. Proceedings of IEEE
Ultrasonics Symposium; San Diego, USA, Sept. 2010, pp. 624–8
This page intentionally left blank
Chapter 9
Testing and verification of MEMS
resonator filters
Vasu Pulijala1
9.1 Introduction
Before designing the layout of the MEMS resonator filter, it must be decided how
testing has to be performed. Once the appropriate test setup has been chosen, pro-
visions have to be made in the design to accommodate the test structures. For
example, MEMS resonator filters could be tested directly on-wafer or on-package,
depending on its end usage. In case MEMS resonators are integrated along with
circuits for monolithic solutions, on-wafer testing would be preferred. If the MEMS
resonators are used as a standalone product, such as in a PCB environment, then on-
package testing is preferred. In both the cases for accurate test performance of the
device, the parasitic effects have to be eliminated. The popular equipment
employed for both the test cases is vector network analyzer (VNA) which is shown
in Figure 9.1 and spectrum analyzer. But the interface between the device to be
tested and the equipment is different. On-wafer testing requires probes and corre-
sponding calibration standards which could be designed on-wafer or a standard
substrate could be used. On-package testing requires connectors and discrete cali-
bration standards. Test structures for removing the parasitic effects have to be
designed appropriately in both cases.
While testing any device, it can be treated as a black box and the output
obtained for a given input is processed further to understand its performance.
Typically at lower frequency ranges, the input and output variables are either vol-
tages, currents, or a combination of them. In the millimeter-wave regime measuring
voltages and currents are difficult. So scattering parameters (S-parameters) are
employed to characterize the black-box in the high-frequency ranges.
To measure the MEMS resonator filter’s performance S-parameters are often
employed. Measurements at high frequencies involve large parasitics in the
equipment, cables, connectors, testing structures, and so on. All the parasitic effects
have to be eliminated to precisely characterize the device under test (DUT). The
process of removing these unwanted effects is called “calibration”.
1
Centre for VLSI and Nanotechnology, Visvesvaraya National Institute of Technology, Nagpur, India
288 MEMS resonator filters
9.2 S-parameters
Assume an electrical circuit with two pairs of terminals connected to external cir-
cuits and let two terminals constitute a port. Such a circuit is called a two-port
network. The model of the two-port network is used in the analysis of an electrical
circuit. A two-port network can be considered as a “black box” which helps us to
calculate the response of the network to signals applied to the ports, without solving
for all the internal network voltages and currents.
The two-port mathematical models: impedance-parameters (Z), admittance-
parameters (Y), hybrid-parameters (H), inverse hybrid-parameters (G), and ABCD-
parameters are usually employed at low frequencies. If we consider a circuit that
operates at high frequency, greater than 1 GHz, it is very difficult to create a short
circuit or open circuit. Therefore, a new representation of the two-port network at
microwave frequencies is needed. The appropriate representation is called scatter-
ing matrix and scattering parameters are defined in terms of traveling waves, which
do not vary with position, unlike voltage and current waves.
Testing and verification of MEMS resonator filters 289
S-parameters differ from the other two-port parameters, in the sense that they
do not use open or short circuit conditions to characterize a linear electrical net-
work; instead, matched terminations are used. These terminations are much easier
to use at high signal frequencies than open-circuit and short-circuit terminations.
Moreover, the quantities are measured in terms of power.
i1 i2
Two-port
V1 network V2
Port 1 Port 2
Figure 9.2 Two-port network: V1 is the voltage across port 1, V2 is the voltage
across port 2, I1 is the current through port 1, I2 is the current
through port 2
290 MEMS resonator filters
ZS
a1 Two-port a2
E1 network ZL
b1 b2
Port 1 Port 2
Input reflection coefficient is given as the ratio of the reflected and incident
wave at the input port when the reflection at port 2 is zero ða2 ¼ 0Þ, which
Testing and verification of MEMS resonator filters 291
means output port is terminated with the equal value of the characteristic
impedance, due to which wave which is traveling to the load will get totally
absorbed and no energy is reflected. S11 tells us about the accuracy of the input
matching.
2. Reverse transmission coefficient ðS12 Þ:
b1
S12 ¼ (9.15)
a2 a1 ¼0
Output reflection coefficient is given as the ratio of the reflected and inci-
dent wave at the output port (port 2) when the reflection at port 1 ða1 ¼ 0Þ is
zero. S21 tells us about the accuracy of the output matching.
Often it is necessary to convert the S-parameters to Z- or Y-parameters to
analyze a two-port network. The following tables help us in quick conversion of
S- to Z- or Y-parameters and vice versa.
½V ¼ ½Z½I (9.18)
where
V1 I1 Z11 Z12
½V ¼ ½I ¼ ½Z ¼
V2 I2 Z21 Z22
292 MEMS resonator filters
½V þ þ ½V ¼ ½Zð½I þ ½I Þ (9.19)
or
Equations (9.24) and (9.25) give us the conversion from S to Z and Z to S. The
below table shows the conversion from S-parameter to the Z- and Z- to S-parameter [3].
S-parameter Z-parameter
S11 S11 ðZ11 Z0 ÞðZ22 þ Z0 Þ Z12 Z21
DZ
S12 S12 2Z12 Z0
DZ
S21 S21 2Z21 Z0
DZ
S22 S22 ðZ11 þ Z0 ÞðZ22 Z0 Þ Z12 Z21
DZ
Z11 ð1 þ S11 Þð1 S22 Þ þ S12 S21 Z11
Z0
ð1 S11 Þð1 S22 Þ S12 S21
Z12 2S12 Z12
Z0
ð1 S11 Þð1 S22 Þ S12 S21
where
V1 I1 Y11 Y12
½V ¼ ½I ¼ ½Y ¼
V2 I2 Y21 Y22
½I þ ½I ¼ ½Y ð½V þ þ ½V Þ (9.27)
where
0 1
1
B Z0 0C
½Y0 ¼ B
@
C (9.29)
1A
0
Z0
½b ½V
½S ¼ ¼ ¼ ð½Y þ ½Y0 Þ1 ð½Y0 ½Y Þ (9.30)
½a ½V þ
Equations (9.30) and (9.31) give us the conversion from S to Y and Y to S. The
below table shows the conversion from S-parameter to the Y- and Y- to S-parameter.
S-Parameter Y-Parameter
S11 S11 ðY0 Y11 ÞðY22 þ Y0 Þ þ Y12 Y21
DY
S12 S12 2Y12 Y0
DY
S21 S21 2Y21 Y0
DY
S22 S22 ðY11 þ Y0 ÞðY0 Y22 Þ þ Y12 Y21
DY
Y11 ð1 S11 Þð1 þ S22 Þ þ S12 S21 Y11
Y0
ð1 S11 Þð1 S22 Þ S12 S21
(Continues)
294 MEMS resonator filters
(Continued)
S-Parameter Y-Parameter
Y12 2S12 Y12
Y0
ð1 S11 Þð1 S22 Þ S12 S21
Y21 2S21 Y21
Y0
ð1 S11 Þð1 S22 Þ S12 S21
Y22 ð1 þ S22 Þð1 S11 Þ þ S12 S21 Y22
Y0
ð1 S11 Þð1 S22 Þ S12 S21
Processor and
display
RF source
Receiver
Reference Receiver
Reference
Directional
Directional
signal 1
signal 2
coupler 1
coupler 3
Incident
signal
Reflected
Directional
Directional
coupler 2
coupler 4
Measured
Measured
signal 1
signal
signal 2
Port 1 DUT Port 2 Cables for
measurement
obtained from measured signal 1 with the data obtained from reference signal 1 to
calculate S11 .
For the measurement of S21 , the RF source switch again routes the signal
towards port 1. This signal goes towards DUT through directional coupler 1. After
this, the signal goes towards reference signal receiver 1 and also towards DUT. We
get a reflected signal from DUT which is the measured signal 1. For the S21 mea-
surement transmitted signal is considered which is the measured signal 2 obtained
from directional coupler 4. This signal is downconverted and processed. After this
VNA compares the data obtained from measurement signal 2 with the reference
signal 1 to calculate S21 . Similarly, other S-parameters are measured.
In general, the interface between the VNA and DUT constitutes connectors/
probes and cables. The losses associated with connectors/probes and cables are also
reflected in the measurements performed for a DUT. The process of removing the
errors is described in the next section.
RF input
attenuator IF gain IF filter Log amp Envelope
Mixer
detector
Input
signal Pre-selector, or low-
pass filter Video
Local filter
oscillator
Reference
oscillator
Sweep
generator Display
Port a1 a2 Port
[S]
1 b b2 2
1
(a)
a1 S21 b2
S11 S22
b1 S12 a2
(b)
a0 b0
a1
Error
DUT
Perfect reflectometer adapter
b1
Figure 9.9 One-port network with perfect reflectometer and error adapter
a0 e10 a1
e01
b0 b1
the actual incident and reflected waves at the one-port network. The signal flow
graph of this setup is shown in Figure 9.10. The relation between the measured
reflection coefficient and the measured coefficient is established in the equations
below.
a1 ¼ a0 e10 þ b1 e11 (9.32)
b0 ¼ a0 e00 þ b1 e01 (9.33)
The actual reflection coefficient of the one-port network is
S11a ¼ b1 =a1 (9.34)
while the measured reflection coefficient of the one-port network is
S11m ¼ b0 =a0 ¼ ða1 e00 De b1 Þ=ða1 e11 b1 Þ ¼ ðe00 De S11a Þ=ð1 e11 S11a Þ
(9.35)
where
De ¼ e00 e11 e10 e01 (9.36)
From (9.35) and (9.36), it could be observed that if we could determine three
terms e00 , e11 , and e10 e01 , the relation between actual and reflected coefficient
could be established. So, the signal flow graph could be modified as shown in
Figure 9.11. The three error terms are known as directivity ðe00 Þ, source match
ðe11 Þ, and reflection-tracking errors ðe10 e01 Þ of the one-port network.
To solve for the three error terms, (9.35) is used as three simultaneous equa-
tions with three unknowns. The three equations can be established by measuring
the three known standards such as short ðS11a ¼ 1Þ, open ðS11a ¼ 1Þ, and, a
300 MEMS resonator filters
a0 1 a1
e10 e01
b0 b1
load ðS11a ¼ 0Þ. The solution of these equations gives us the error terms. The error
terms once calculated are utilized to give us the actual reflection coefficient of an
unknown device under test.
Similarly, when measurements are performed for a two-port network, six of the
systematic errors are present for the forward and the reverse directions, giving rise
to a total of 12 error terms as shown in Figure 9.12 [6]. Solving the signal flow
graphs in the forward and reverse directions will give us four equations containing
the four actual S-parameters of the DUT and twelve error terms. The calibration
methods employed would be used to determine the error terms to subsequently
deduct the systematic errors from the actual measurements. Few of the popular
calibration methods are described in the next section.
Employing the calibration techniques removes port match, directivity, track-
ing, and main leakage path errors. Errors related to noise, receiver non-linearity,
drift, stability, repeatability are not removed by calibration. Errors due to drift,
stability after calibration could be minimized by repeating the calibration at regular
intervals. Noise- and linearity-related errors can be minimized by employing a
linear calibration procedure.
9.6 Calibration
Calibration takes into account the systematic errors from the equipment and
accessories and removes them from actual measurements. This type of calibrations
is also called as error correction as it corrects the effects of additional components
and also corrects the systematic errors of VNA. Calibration is defined as the “set of
operations that establish, under specified conditions, the relationship between
values of quantities indicated by a measuring instrument or measuring system, or
values represented by a material measure or a reference material, and the corre-
sponding values realized by standards” [8].
VNA measurements can be performed on-wafer or off-chip. Each of these test
set-ups has its interface with the device under test. To remove the systematic errors
from the VNA, cables, accessories and probes or connectors, on-wafer calibration
or off-chip calibration has to be performed. Calibration to be performed for these
test set-ups are different and are discussed further. The following discussion is
Forward model Reverse model
Port 1 Port 2
Port 1 Ex Port 2 ERT’
S21A b2
S21A b2 a1
ETT EL’
a1 ES S11A S22A ES’ ED’ a2
ED S11A S22A a2 b1
b1 EL
ETT’ S12A
ERT S12A EX’
DUT
For the RF probes to make contact with the device on-wafer RF pads are
needed. Pads have to be designed depending on (1) configuration of the RF probes
(GS, GSG, GSGSG), (2) diameter of the RF probe tips, and, (3) pitch of the RF
probes. (Pitch is the distance between adjacent tips of the RF probe.) Pad dimen-
sions have to be large enough to accommodate the RF probe tip and also should
take into account the over-travel as soon they land (after touching down probe tips
slide on the pad). But very large pads will offer large parasitics and hence their size
has to be optimized to minimize the losses. Any electromagnetic field solver will
aid in the optimal design of the pads. Pads designed for integrated circuit mea-
surements require electrostatic discharge (ESD) protection to avoid unwanted high
voltages which might breakdown the devices. Incorporation of ESD protection is
optional for MEMS devices depending on its sensitivity to unwanted high voltages.
RF pads are connected to the device either directly or with the interconnect lines
(Figure 9.16).
G G
S S
G G
Short
G G
S S
G G
Open
G G
100
100
S S
100
100
G G
Load
G G
S S
G G
Thru
G G
S S
G G
Thru
G G
S S
G G
Reflect
G G
S S
G G
Lines
different length) (Figure 9.23). TRL gives accurate results compared to the SOLT
technique but there is a limitation at lower frequencies (<1 GHz). Through standard
is the same as the through in the SOLT calibration technique. Line standard is also
a through but of different lengths. The electrical length difference between line and
through standards must be different by 20 to 160 range. If wider bandwidths are
to be measured, then multiple lines with different lengths are employed. In case of
lower frequencies (<1 GHz), the length of the line standard will be very large to be
accommodated. Reflect standard can be an open or a short standard.
308 MEMS resonator filters
H
101-190 C
Open
0 50 100 150 MILS
899-123
CASCADE MICROTECH® 2007 0 1 2 3 4 MM
g ¼ ln ð1 S11
2
þ S21
2
=2S21 þ KÞ (9.37)
where S11 and S21 are the S-parameters of the interconnect line alone.
● ABCD matrices of the input [Ain] and output [Aout] interconnect lines are
obtained from the matrix below by substituting l1 and l2 in place of l, respec-
tively.
A B coshy l Zc coshy l
¼
C D sinhy l=Zc coshy l
● Calculated the ABCD matrix of the pad alone Apad by obtaining the Y-parameters
from Sopen as follows
1 0
Y11open þ Y12open 1
● Calculate ABCD matrices of the input port [Ain port] and output port [Aout port]
which are cascaded with pad and the interconnects utilizing [Ain port] ¼ [Apad]
[Ain] and [Aout port] ¼ [Aout] [Apad]
● Obtain the ABCD matrix of DUT with probe pads [Adut] from its S parameters
(Sdut). The ABCD matrix of DUT alone [Ade-embed] can be obtained by
[Ade-embed] ¼ [Ain port]1 [Adut] [Aout port]1
● Obtain S parameters of the de-embedded structure alone from [Ade-embed].
310 MEMS resonator filters
The above method may not give accurate results when the devices are imple-
mented on lossy substrates. The four-step de-embedding method addresses the
issues of substrate coupling and contact effects and is appropriate for MEMS
devices implemented on lossy substrates such as CMOS technologies.
G1 G2
S1 S2
G1 G2
Zf
Zc Zi Zi Zc
S1 S2
Z3 DUT Z3
Zp Zp
Z2
Zc /2 Z1 Z1 Zc /2
G1 G2
● Step 1
First step is to measure Z-parameters of the test-fixture including DUT which
is given by
Z11 Z12
Z¼ (9.38)
Z21 Z22
where Zc is the contact resistance due to metal pads, Zp is coupling between the
signal pad and ground pad, Zi ; Z1 is the impedance from pad to DUT boundary
as interconnect which is used to connect pad to DUT will have impedance at
higher frequencies, Z2 is the impedance of dangling leg used to connect the
DUT and surrounding substrate to ground, Z3 is used to denote the direct and
substrate-carried coupling from the ground leg to the device input/output, Zf
represents the direct and substrate-carried coupling from input to output.
● Step 2
This step involves the de-embedding of Zc and Zp (Figures 9.27 and 9.28).
Zc is de-embedded as
03 1
0
B C
Z0 ¼ Z @ 2 A Zc (9.39)
3
0
2
Zc is extracted from the S-parameter measurement of simple short standards
(shown in Figure 9.29) by using the following equation.
2
Zc ¼ Z11;ss (9.40)
3
Step 2 is completed by converting the modified parameters, Z 0 , into
Y-parameters, Y 0 ¼ Z 0 1 Zp , Zp is extracted from the S-parameter measure-
ment of simple short and simple open standards shown in Figures 9.29 and
9.30 by using the following equation.
Zp ¼ Z11;so Z11;ss (9.41)
312 MEMS resonator filters
Zf
Zc Zi Zi Zc
S1 S2
Z3 DUT Z3
Zp Zp
Z2
Zc/2 Z1 Z1 Zc/2
G1 G2
Z Z’
Zf
Zi Zi
Z3 DUT Z3
Zp Zp
Z2
Z1 Z1
Y’ Y’’
G G
S S
G G
Simple short
G G
S S
G G
Simple open
Zf
Zi Zi
Z3 DUT Z3
Z’’ Z’’’
Z2
Z1 Z1
Zp is de-embedded as
00 0 1 0 1
Y ¼Y (9.42)
0 1 Zp
● Step 3
This step includes the de-embedding of impedances Zi þ Z1 and Z2
(Figure 9.31). The impedances are subtracted from Z 00 matrix which is obtained
from step 2.
0 0 0 Zi þ Z1 þ Z2 Z2
Z 0 ¼Z 0 (9.43)
Z2 Zi þ Z1 þ Z2
Zi ; Z1 ; Z2 ; Z3 are extracted from the following equations by using short and
open standards, as shown in Figures 9.32, 9.33, and 9.34. In the following
314 MEMS resonator filters
G G
S S
G G
Short 1
G G
S S
G G
Short 2
G G
S S
G G
Open
Zf
Z3 DUT Z3
Y’’’ Y’’’’
equations, all the parameters on the left-hand side are known from the
above step.
● Step 4
This is the final step which is shown in Figure 9.35. It consists of the con-
version of de-embedded Z-parameters back into corresponding Y-parameters.
01 1 1 1
þ
B Z3 Z4 Zf C
Y 00 00 ¼ Y 0 00 B
@
C (9.50)
1 1 1A
þ
Zf Z3 Z4
50
40
40
20
30
0
20 –20
10
S11 (dB)
S12 (dB)
–40
0 –60
–10 –80
–20 –100
–30 –120
–40 –140
–50 –160
0 2 4 6 8 10 12 14 16 18 20 0 2 4 6 8 10 12 14 16 18 20
Frequency (GHz) Frequency (GHz)
(a) S11 (b) S12
50
40
40
20
30
0
–20 20
10
S21 (dB)
S22 (dB)
–40
–60 0
–80 –10
–100 –20
–120 –30
–140 –40
–160 –50
0 2 4 6 8 10 12 14 16 18 20 0 2 4 6 8 10 12 14 16 18 20
Frequency (GHz) Frequency (GHz)
After calibration is performed, one could also place the probes on the through a
transmission line (which was used for calibration) to check if the S12 and S21 are at
0 dB for the calibrated range of frequencies, as shown in Figure 9.38.
For verification of on-wafer DUT de-embedding electromagnetic field solves
could be employed to cross-verify the results after de-embedding. The DUT alone
without any bond-pads added to it could be solved any EM field solver and the
results obtained by on-wafer de-embedding are cross-verified against these values.
50
40
40
20
30
0
20 –20
10
S11 (dB)
S12 (dB)
–40
0 –60
–10 –80
–20 –100
–30 –120
–40 –140
–50 –160
0 2 4 6 8 10 12 14 16 18 20 0 2 4 6 8 10 12 14 16 18 20
Frequency (GHz) Frequency (GHz)
(a) S11 (b) S12
50
40
40
20
30
0
–20 20
10
S21 (dB)
S22 (dB)
–40
–60 0
–80 –10
–100 –20
–120 –30
–140 –40
–160 –50
0 2 4 6 8 10 12 14 16 18 20 0 2 4 6 8 10 12 14 16 18 20
Frequency (GHz) Frequency (GHz)
(c) S21 (d) S22
50 50
40 40
30 30
20 20
10 10
S11 (dB)
S22 (dB)
0 0
–10 –10
–20 –20
–30 –30
–40 –40
–50 –50
0 2 4 6 8 10 12 14 16 18 20 0 2 4 6 8 10 12 14 16 18 20
Frequency (GHz) Frequency (GHz)
(a) S12 (b) S21
Figure 9.38 Transmission coefficients after calibration, when probes are placed
on the through structure
318 MEMS resonator filters
shown in Figure 9.39. Both male and female standards are available depending on
which connector it has to be connected to. These standards are used to remove the
effect of connecting cables which are used to connect VNA and DUT printed
circuit board.
The MEMS component is soldered on to PCB and the necessary input and
output ports are bonded from the on-chip pads to PCB bond pads with bond wires.
For the reduction of parasitic effects, the bond wire length has to be minimal. The
parasitics introduced by bond pads and bond wires can be obtained by EM
Simulation. In most of the designs, these parasitics are included for the design of
MEMS components. For example, a bond wire loop provides series inductance
across the bond pads which is included in the design.
Source
Mirror
Beam
splitter Photodetector
Vibrating surface
Source
Beam
splitter Photodetector
Vibrating surface
Substrate
For beam deflection detection (Figure 9.42) [20,21], the laser beam is focused
on the surface of the resonator and the motion of the surface under resonance
interferes with the otherwise stable reference beam. Change in reflectance due to
this interference is detected by using a photodetector.
Optical detection techniques are efficient compared to the conventional mea-
surements minimizing the interference between the input actuation and output
detection. Coupling losses, energy losses due to surface scattering, the requirement
of reflective and anti-reflective coatings, frequency limitations are few challenges
of these techniques.
320 MEMS resonator filters
r
to
So
ec
ur
et
ce
od
ot
Ph
Vibrating surface
power levels of the fundamental tone and intermodulation products could be plot-
ted. The third-order intercept point is calculated by extrapolating the third-order
intermodulation power and the fundamental tone power and finding the point at
which they cross. Higher the third-order intercept point, the lower the distortion
caused by the third-order intermodulation.
9.10 Summary
The testing of MEMS resonators helps not only in verifying if the design specifi-
cations have been met but also in further optimization of the design, if necessary. In
this chapter we have seen various methods to test MEMS resonator filters. As the
frequency of operation of the resonators further increases, we may have to come up
with specific calibration mechanisms to remove the parasitic effects.
References
[1] Rytting D. ARFTG 50 year network analyzer history. In: 2008 71st ARFTG
Microwave Measurement Conference. IEEE; 2008. p. 1–8.
[2] Martens L. High-frequency characterization of electronic packaging. vol. 1.
Springer Science & Business Media, New York; 2013.
[3] Rytting D. Appendix to an analysis of vector measurement accuracy
enhancement techniques. Hewlett Packard. 1982;p. 1–42.
[4] Rytting D. An analysis of vector measurement accuracy enhancement tech-
niques. In: RF and Microwave Symp. Exhibition; 1980.
[5] Rehnmark S. On the calibration process of automatic network analyzer
systems (short papers). IEEE Transactions on Microwave Theory and
Techniques. 1974;22(4):457–458.
[6] Ballo D. Applying error correction to network analyzer measurements.
Microwave Journal. 1998;41(3):64–75.
[7] Keysight Technologies Applying Error Correction to Vector Network
Analyzer Measurements. Keysight Technologies; [updated 2018 Feb 28;
cited 2019 Jul 07]. Available from: http://literature.cdn.keysight.com/litweb/
pdf/5965-7709E.pdf.
[8] BIPM I, IFCC I, IUPAC I. OIML. International Vocabulary of Basic and
General Terms in Metrology Second. International Organization for
Standardization, Geneva.
[9] Rumiantsev A, and Ridler N. VNA calibration. IEEE Microwave Magazine.
2008;9(3):86–99.
[10] Engen GF, and Hoer CA. Thru-reflect-line: An improved technique for
calibrating the dual six-port automatic network analyzer. IEEE Transactions
on Microwave Theory and Techniques. 1979;27(12):987–93.
[11] ISS Map 101-190. FormFactor; [updated 2017 Aug 14; cited 2019 Jul 07].
Available from: https://www.formfactor.com/download/iss-map-101–190/.
322 MEMS resonator filters
[12] van Wijnen PJ. A new straightforward calibration and correction procedure
for ‘on wafer’ high frequency S-parameter measurements (45 MHz–18 GHz).
In: Proceedings of the Bipolar Circuits and Technology Meeting, 1987; 1987.
[13] Cho MH, Huang GW, Chen KM, et al. A novel cascade-based de-embedding
method for on-wafer microwave characterization and automatic measure-
ment. In: 2004 IEEE MTT-S International Microwave Symposium Digest
(IEEE Cat. No. 04CH37535). vol. 2. IEEE; 2004. p. 1237–40.
[14] Eisenstadt WR, and Eo Y. S-parameter-based IC interconnect transmission
line characterization. IEEE Transactions on Components, Hybrids, and
Manufacturing Technology. 1992;15(4):483–90.
[15] Kolding TE. A four-step method for de-embedding gigahertz on-wafer
CMOS measurements. IEEE Transactions on Electron Devices. 2000;47(4):
734–40.
[16] Carr DW, Sekaric L, and Craighead HG. Measurement of nanomechanical
resonant structures in single-crystal silicon. Journal of Vacuum Science &
Technology B: Microelectronics and Nanometer Structures Processing,
Measurement, and Phenomena. 1998;16(6):3821–24.
[17] Carr DW, Evoy S, Sekaric L, et al. Parametric amplification in a torsional
microresonator. Applied Physics Letters. 2000;77(10):1545–47.
[18] Meyer C, Lorenz H, and Karrai K. Optical detection of quasi-static actuation
of nanoelectromechanical systems. Applied Physics Letters. 2003;83(12):
2420–22.
[19] Kouh T, Karabacak D, Kim D, et al. Diffraction effects in optical inter-
ferometric displacement detection in nanoelectromechanical systems.
Applied Physics Letters. 2005;86(1):013106.
[20] Czaplewski D, Sullivan J, Friedmann T, et al. Mechanical dissipation in
tetrahedral amorphous carbon. Journal of Applied Physics. 2005;97(2):
023517.
[21] Sandberg R, Boisen A, and Svendsen W. Characterization system for reso-
nant micro-and nanocantilevers. Review of Scientific Instruments. 2005;
76(12):125101.
[22] Razavi B. RF microelectronics. Pearson Education, India; 2012.
[23] Alastalo AT, and Kaajakari V. Third-order intermodulation in microelec-
tromechanical filters coupled with capacitive transducers. Journal of
Microelectromechanical Systems. 2006;15(1):141–48.
[24] Zuo C, Sinha N, Pisani MB, et al. Channel-Select RF MEMS Filters
Based On Self-Coupled A1N Contour-Mode Piezoelectric Resonators.
Departmental Papers (ESE). 2007;p. 318.
[25] Nordquist CD, and Olsson RH. Power handling and intermodulation distor-
tion of contour-mode AlN MEMS resonators and filters. In: 2011 IEEE
MTT-S International Microwave Symposium. IEEE; 2011. p. 1–4.
Chapter 10
3D packaging for the integration
of heterogeneous systems
Pavani Vamsi Krishna Nittala1, Prosenjit Sen1,
K.N. Bhat1, and M.M. Nayak1
1
Centre for Nano Science and Engineering, Indian Institute of Science, Bengaluru, India
324 MEMS resonator filters
2-D batch
11 nm
15 nm
Moore 22 nm
32 nm
45 nm
2008 2010 2012 2014
Production year
3. Barrier and the seed layer depositions by the physical vapour deposition (PVD)
techniques
4. Filling of the vias using electroplating (generally copper is used to fill the vias)
5. Copper over-burden removal by the chemical mechanical polishing (CMP)
technique and
6. TSV copper revealing.
TSV offers unique advantages and enables higher number of interconnections,
reduced latency, lower inductance, lower capacitance, and permit higher-speed
communications, and lower-power-level communication links between circuits [21].
Stacking
Singulation
Figure 10.2 Difference between W2W and C2W stacking methodologies [22]
Top
chip
Wire- Die 1
bonds Die 2 Micro-bumps Cu
with underfill wires
Die 3
Bottom chip
Substrate, PCB with metal layers
Substrate
(a) (b)
Figure 10.3 Package-level 3D integration: (a) traditional die stacking with wire
bonding to the PCB [24] and (b) Amkor’s 3D IC packaging with
copper wires [8]
(a) (b)
Figure 10.4 (a) MEMS and ASIC die stacking and interconnection using wire
bonding [23] and (b) package-on-package stacking approach [7]
2GB LPDDR4
A9 application processor
fabricated by 14/16nm Fin-FET process technology
Another well-known approach is embedded wafer-level ball grid array [26] (eWLB).
This approach involves reconstituting a wafer (from the known good dies), by
moulding individual die, placed in an array format into an artificial wafer [26,27].
Die 1
Die 1 Die 2 Micro-bumps
Die 1
Die 2
Die 2
with underfill Embedded EMIB
multi-die
interconne
ct bridge
DRAM
DRAM
Logic SOC DRAM
DRAM
Logic SOC
logic PHY PHY
Memory Interposer
Package substrate
Figure 10.7 Typical interposer technology used in 3D memory stacks with logic
SOC die [7]
328 MEMS resonator filters
Figure 10.9 (a) Processed device wafers, (b) RT alignment and bonding of both
the wafers, (c) thinning of the top wafer (wafer 1) and realization of
TSVs and (d) back-end processing for bonding to a final PCB [7]
3D packaging for the integration of heterogeneous systems 329
RRAM
CNFET logic
Silicon logic
Ultra-dense
interconnects
stack so that the integrated system can be more powerful, efficient and functionally
diverse [45,49]. Currently, various technologies are being developed for hetero-
geneous integration. Such 3D integrated heterogeneous systems would provide
complex functionality [50,51], for the upcoming plethora of market demands in
Internet of Things (IoT) [17,52]-based smart devices with big data cloud applica-
tions [53] and healthcare-based applications [54].
Though there are several reports on 3D integration technologies, which have
been for stacking and interconnection mechanisms, all these technologies are
confined to silicon-based devices. With the existing processing technologies, it is
difficult to integrate complex combinations of GaN-HEMTs, MEMS, micro-
fluidics, optical devices and CMOS at the wafer-level. Moreover, due to the cross-
contamination issues, most of these devices were never accepted in the standard
CMOS foundries. These devices were very expensive compared to the existing
CMOS-based devices. To address these challenges, there were efforts towards
developing processing technologies for 3D heterogeneous integration by post fab
vertical stacking techniques.
To address these challenges, in Sections 10.4 and 10.5 we demonstrate tech-
niques to vertically stack ultra-thin layers of different devices from different tech-
nologies fabricated using different materials. In Section 10.4, we developed
fabrication techniques for the transfer of ultra-thin silicon (UTSi) layers of thick-
ness ~1.45 mm on to a foreign substrate using low-temperature bonding approach.
In order to enable this transfer, we have analysed and resolved the associated stress
and reported a scheme of mitigating the stress issues. This resulted in a crack-free
transfer of ultra-thin silicon layer. Based on this technique, we have demonstrated
three-layer stacking of the ultra-thin silicon layers with functional MOSFETs in
each layer using low-temperature epoxy bonding processes. Electrical character-
ization results of NMOS/PMOS devices in each layer have been presented and
compared before and after the transfer.
Further, 3D heterogeneous integration of miniaturised hybrid systems is dis-
cussed in Section 10.5. In this 3D packaging technology, we were able to integrate
photodetector, optical filters, microfluidic chip with microheater and an LED. The
functionality of the heterogeneous system was demonstrated by measuring the
increase in photodetector current due to the fluorescence property of the Rhodamine
B and Rhodamine 6G. Finally, we have demonstrated an approach for inter-
connecting the stacked layers using the non-conventional inkjet printing technique.
Figure 10.11 Process flow for the NMOS and PMOS MOSFET fabrication
332 MEMS resonator filters
Carrier glass
PECVD SiO2
f(i)
BOX Device Si
Epoxy Carrier glass
Glass wafer Carrier glass
Temporary bond
Device Si
Permanent bond Temporary bond
f(ii) PECVD SiO2 (d) BOX Device Si
Device Si Glass wafer ~150 nm
BOX Au-In (e)
Glass wafer
Figure 10.12 Schematic of the process flow for the ultra-thin silicon fabrication:
(a) ~1.4-mm-thick Si device layer after transistor fabrication,
(b) temporary bonding of glass carrier, (c) removal of the SOI
handle layer, (d) controlled etch of BOX, (e) permanent bonding of
glass wafer, f(i) final device with epoxy bonding and f(ii) final
device with Au–In bonding
During further processing, cracks were observed on the thin device layer as
shown in Figure 10.13(d). Further, it was also noted that these cracks were present
only for the transfer of 2-mm device layers. Similar transfer of 10-mm device layers
did not result in such cracks. Therefore, these cracks were attributed to the stress
arising from the deposited layers on the top and the BOX at the bottom of the
device silicon layer. By sequentially removing the different layers from a dummy
SOI wafer and measuring the curvature using the kSA MOS stress measurement
tool, the stress of the buried oxide layer was found to be ~ 290.5 MPa compressive.
After handle layer removal, the compressive BOX relaxes by stretching. This leads
to cracking of the silicon device layer. Hence, it was concluded that the solution for
reducing the residual stress effect is to reduce the thickness of the BOX layer.
Removing the BOX layer completely, however, led to the wrinkles after transfer, as
shown in Figure 10.13(e). These wrinkles can be attributed to the remaining stress
due to the bonding layer and the layers on the top side of the thin silicon device
layer. Crack-free and wrinkle-free thin silicon, as shown in Figures 10.12(d) and
10.13(g), could be achieved when a controlled wet etching of the buried oxide layer
was carried out to reduce it to ~150 nm. Stress cracks are observed when more than
200 nm of BOX was left as shown in Figure 10.13(f). We observed that leaving
3D packaging for the integration of heterogeneous systems 333
Silicon Cracks
BOX
(e)
Si Cracks
(g) (d)
(f)
Crack
(h) (i)
Figure 10.13 (a) Top view of the as fabricated transistor SOI die, (b) glass carrier
wafer temporary bonding over the SOI die, (c) image showing the
BOX after handle layer removal using DRIE, (d) stress cracks were
observed after the transfer process upon further processing,
(e) wrinkles were observed on the transferred devices after the
complete removal of BOX, (f) cracks were observed on the
transferred devices with partial etch of the BOX, (g) photograph of
a decently bonded sample without any wrinkles or cracks,
(h) observed micro-cracks on a transistor after transferring to a
foreign substrate using Au–In bonding and (i) micrograph of the
epoxy-bonded sample without any cracks
between 100 and 200 nm BOX on the silicon device layer balances the stress
induced by the bonding layer and the different layers present on the front side of the
device layer.
The ultra-thin silicon device layer was transferred to the permanent package
substrate (e.g. glass), using two approaches as shown in Figure 10.12(e). For
transfer, we explored: (I) RT or low temperature epoxy bonding and (II) low
temperature Au–In bonding as described in the following sections.
Method (I)
In this epoxy bonding approach, EPO-TEK UJ1190 was spin-coated at 1,600 rpm
on a cleaned glass substrate. The ultra-thin Si device layer on a temporary substrate
334 MEMS resonator filters
was brought in contact with the glass substrate and exposed to UV light using the
MJB4 lithography tool for 60 s. The exposure was completed in 15 cycles at
intervals of 30 s at RT. This resulted in a permanent bond between the ultra-thin
silicon and the glass substrate, as shown in Figure 10.12(f(i)). The carrier substrate
was then separated using thermo-mechanical debonding on a hot plate at 150 C as
recommended by the manufacturer. The remaining temporary bonding material
was thoroughly cleaned using the wafer bond remover from Brewer Science.
Method (II)
For Au–In bond, Cr/Au (20/100 nm thickness) was sputtered on the back of ultra-
thin silicon and 1 mm indium was evaporated on the foreign substrate (in this case
glass substrate). These two substrates were brought together and subjected to 600 N
force at a temperature of 150 C for 30 min in a bonding tool under vacuum. Prior
to the bonding, oxide was removed from the indium surface using a dilute HCl dip
just. After the bonding process, the carrier wafer was separated from the stack by
the thermo-mechanical debonding method. This was followed by cleaning the
remaining bonding material. The final device is shown in Figure 10.12(f(ii)).
From the SEM/FIB pictures in Figure 10.14(a), the post-bonding thickness of
Au–In alloy was 1.3 mm whereas, the epoxy thickness was ~25 mm, as shown in
Figure 10.14(b). For Au–In bond, the SEM/FIB image clearly shows voids. The
incomplete bonding is attributed to the low bonding temperature. Upon careful
observation under the microscope, micro-cracks on the ultra-thin silicon layer were
observed at random location for the case of the indium-bonded sample, as shown in
Figure 10.13(h). These cracks could result from large bonding forces used in the
bonding process. On the other hand, in the case of epoxy bonding cracks were
absent (see Figure 10.13(i)) and the yield was almost 100%. Absence of cracks for
epoxy bonding can be expected due to lower stiffness of epoxy and lower bonding
force. Further, the epoxy bonding process is relatively cheap compared to the TLP
bonding which involves two metal deposition steps and specialized bond tool
requirements.
Glass
Glass
(a) (b)
Figure 10.14 FIB images of the bond interface using (a) gold–indium transient
liquid-phase bond with Au–In alloy thickness of ~1.3 mm and
(b) epoxy-bonded sample with epoxy thickness of ~25 mm
3D packaging for the integration of heterogeneous systems 335
Carrier
Carrier
Temporary bond
Die 2 Temporary bond
SiO2
Die 2
Epoxy
Su-8~1 µm SU-8 SU-8
Die 1~1.4 µm Die 1 Die 1
BOX Epoxy Epoxy
Epoxy~25 µm
Carrier
Figure 10.15 Schematic of the process flow for vertical homogenous stack:
(a) transistor fabrication and transfer to the glass wafer using
epoxy bonding methodology, (b) preparation of second die for the
transfer over the first one – temporary bonding of carrier glass to
SOI, etching of handle layer silicon and partial etch of oxide,
(c) permanent bonding of the device stack using epoxy,
(d) separation of the glass carrier and thorough cleaning,
(e) another ultra-thin silicon permanent bonding to the existing
stack and (f) final stack
336 MEMS resonator filters
treated with low-power oxygen plasma. This step is required for SU-8 surface
activation which allows proper spreading of epoxy and better adhesion.
The second layer of ultra-thin Si device layer was prepared using the same
technique as described above. Silicon being not transparent to the UV light, UJ1190
epoxy cannot be used for further stacking (i.e. second and third layer). Hence, a
thermally curable epoxy (EPO-TEK 377) was explored and used for further
bonding/stacking. The selected epoxy has very low viscosity and low outgassing,
both properties being beneficial for the stacking process. The epoxy was spin-
coated on to the backside (BOX) of the ultra-thin Si device layer at 1,800 rpm
for a minute. This was followed by bonding on the hot plate at 135 C for 30 min.
After bonding, the carrier wafer was removed using thermo-mechanical debonding
method.
In preparation for the transfer of third layer, 1-mm-thick SU-8 was spin-coated
on the stack and patterned over the device region, as shown in Figure 10.15(d).
Finally, the third die was also bonded to the existing stack with devices facing to
the top as shown in Figure 10.15(e). Thermo-mechanical debonding of the carrier
wafer leads to the completion of the stacking process, as seen in Figure 10.15(f).
Successful stacking was also achieved by bonding the first layer using thermally
cured epoxy (EPO-TEK 377) instead of UV-cured epoxy (UJ1190).
As seen in Figure 10.15(f), the three layers in the stack-used dies of different
dimensions are as follows: die 1 was 2.2 cm 1 cm, die 2 was 1.6 cm 1 cm and
die 3 was 1 cm 1 cm. The lengths of the dies were designed to be different to
ensure that both sides of the die can be accessed from above to probe the device
contact pads, as seen in Figure 10.16(a). In the fabricated stack, the bottom-most
layer consisted of PMOS devices, whereas the middle and the top layer consists of
NMOS devices. Figure 10.16(a) shows the photograph of the stack, where the top
third layer with devices and the interconnect pads on both sides of all the three
layers can be seen. Figure 10.16(b) demonstrates the cross-sectional FIB image of
the three-layer stack with epoxy and silicon layers.
2.2 cm
(a) (b)
1 cm
Figure 10.16 Image of the three-layer stack: (a) top view photograph showing all
the three bonded layers and (b) cross-sectional FIB image showing
all the three functional NMOS and PMOS layers
3D packaging for the integration of heterogeneous systems 337
SiO2
SU-8
Silicon 2 Die 3
SU-8
Die 2 Silicon 3
Epoxy
SU-8
Die 1 Silicon 2
Silicon 1 Epoxy
Epoxy Silicon 1
Epoxy
Glass wafer
Glass Glass
Figure 10.17 Epoxy spread at the bond interfaces to the subsequent layers.
Keep-out zone in both the layers was ~ 40 mm
After transfer 6V
8 125 °C
5V 10 µ 125 °C 100 °C
6
4V 75 °C
4 100 n
50 °C
3V
2 25 °C
2V 1n
Before transfer
1V 25 °C
0 0V After transfer
10 p
0 1 2 3 4 5 –2 0 2 4
(a) Drain voltage (V) (b) Gate voltage (V)
12
Epoxy transfer 100 m Epoxy transfer
VDS = 7 V
10 VGS = 0 to 7 V, step = +1 V 7V
Before transfer 1m
Drain current (mA)
2 2V 25 °C
1n
1V Before transfer
25 °C
0 0V After transfer
10 p
0 1 2 3 4 5 –2 0 2 4
(c) Drain voltage (V) (d) Gate voltage (V)
could be attributed to the poor thermal conductivity of the glass substrate. Also, it
can be observed that reduction in current is lower in the case of Au–In bond as
compared to the epoxy bond at higher gate voltages; This can be attributed to better
lateral dissipation of heat through the Au–In alloy. Whereas the epoxy being a poor
conductor of heat, this will lead to higher device temperatures and hence the
observed current reduction.
Figure 10.18(b) and (d) summarizes the transfer characteristics (Id versus Vg)
at various temperatures (25 C to 125 C, in steps of 25 C), respectively, for the
indium bonding and epoxy bonding cases. The off-currents after the transfer are
compared with those obtained before transfer for both cases. As expected, the off-
currents are observed to increase with temperature. For both the bonding approa-
ches, the transferred devices showed lower off-currents than the device on the SOI
substrate. In the case of indium bonding, the extracted threshold voltage (Vt) before
stacking was 86.01 mV and after stacking it was 67.4 mV. In the case of the
epoxy bonding, the extracted Vt before stacking was 53.9 mV and after stacking it
3D packaging for the integration of heterogeneous systems 339
is equal to 43.3 mV. These results indicate about 20% shift in the threshold
voltage for both the approaches.
25 °C
9 9
125 °C
125 °C
8 6
3 3
25 °C to 125 °C at an interval of 25 °C 25 °C to 125 °C at an interval of 25 °C
0 0
0 3 6 9 12 15 0 3 6 9 12 15
(a) Drain voltage (V) (b) Drain voltage (V)
21
21 Gate voltage: 10 V
Original NMOS SOI device 25 °C Drain voltage: 0–15 V
19
Max drain current (mA)
100 °C 17
15 Epoxy-bonded sample
12 150 °C
15
9 200 °C
14
6
11
3
25 °C to 200 °C at an interval of 25 °C
0 9
0 3 6 9 12 15 0 40 80 120 160 200
(c) Drain voltage (V) (d) Chuck temperature (°C)
original NMOS SOI device. As expected, in these devices, due to the rise in the
applied chuck temperature the drain current (saturation current) is reduced at higher
temperatures. The decrease in the saturation current occurs because of the decrease
in the mobility of charge carriers in the channel caused by the increased electron–
phonon scattering at higher temperatures. For the transferred substrates we also
observe a region of negative differential resistance (NDR) region [55,56]. Very
minimal effect of the same can be observed in the original NMOS SOI device, as
shown in Figure 10.19(c).
Once the MOSFETs are transferred to a glass substrate through Au–In or
epoxy bonding, the effect of poor thermal dissipation on the output characteristics
becomes prominent, even at RTs. In both cases, with increase of drain voltage, the
drain current increases to a maximum and then starts to decrease leading to an NDR
region, as can be seen in Figure 10.19(a) and (b). This happens as the power
through the device increases the device channel heats up due to the poor thermal
dissipation through the bonding material and the glass substrate. On comparing the
MOSFET characteristics in case of Au–In bonding with those of epoxy bonding,
the epoxy being an even poorer thermal conductor, the reduction in device current
is further enhanced.
To measure the rise in temperature, the maximum current obtained in all the
three cases was recorded and plotted in Figure 10.19(d). It may be noted from the
graph, that the maximum current for RT measurements in the Au–In-bonded
devices approximately correspond to those obtained at 100 C in the original
NMOS SOI device. Whereas, for the epoxy bonding approach, the maximum
current value at RT corresponds to ~150 C in the original NMOS SOI device, as
shown in Figure 10.19(d). Hence, the Au–In-bonded sample would be at 100 C
and the epoxy sample would be 150 C at the maximum current-carrying con-
ditions. As Vd increases further, the power dissipation in the channel increases
leading to further rise in temperature and hence, reduction in current with an
increase in Vd.
To understand the NDR effect on the post-transfer devices, TCAD Atlas
simulations were conducted on the NMOS SOI transistor. Steady-state Id–Vg
simulation results at higher drain voltages on SOI wafer (with no vertical stack-
ing) are shown in Figure 10.20(a). Simulated measurements were identified to be
in close match with the original fabricated NMOS SOI device measurements as
shown in Figure 10.20(a). The Au–In- and epoxy-bonded devices results (after
fabrication) were also plotted and at higher drain voltages where a significant
negative differential resistance can be observed. The same has been verified
through thermodynamic MOSFET simulations using TCAD Atlas as shown in
the same figure. We suspect the significant NDR effect in the post-transferred
devices is due to the increase in the channel temperature during operation. This
could be due to the lack of proper thermal dissipation through the substrate.
To verify the same, we have simulated the increase in the channel temperature
with increase of the drain voltages for all the devices at RT. as shown in
Figure 10.20(b).
3D packaging for the integration of heterogeneous systems 341
21
Gate voltage: 10 V
12 Simulated
450
9
400
6
Original NMOS SOI device - RT 350
3 Fabricated - Au–In-bonded sample -RT
Fabricated - Epoxy-bonded sample -RT
0 300
0 3 6 9 12 15 0 3 6 9 12 15
(a) Drain voltage (V) (b) Drain voltage (V)
1m 125 °C
8 Before transfer 7V
100 °C
After transfer 6V 10 µ 125 °C
6 75 °C
5V
50 °C
4 4V 100 n
25 °C
3V 25 °C
2 1n
2V Before transfer
1V After transfer
0 0V 10 p
0 1 2 3 4 5 –2 0 2 4
(c) Drain voltage (V) (d) Gate voltage (V)
12
NMOS - Layer 3 100 m NMOS - Layer 3
10 VGS = 0 to 7 V, step = 1 V 7V VDS = 7V
Drain current (mA)
Figure 10.21 Electrical characteristics of the three-layer stack before and after
stacking comparison: (a) output characteristics of the first/bottom
(PMOS) layer in the stack, (b) transfer characteristics of the first/
bottom (PMOS) layer in the stack at RT and elevated temperatures,
(c) output characteristics of the second (NMOS) layer in the stack,
(d) transfer characteristics of the second (NMOS) layer in the stack
at RT and elevated temperatures, (e) output characteristics of the
third/top (NMOS) layer in the stack and (f) transfer characteristics
of the third/top (NMOS) layer in the stack at RT and elevated
temperatures
3D packaging for the integration of heterogeneous systems 343
Dev 5
Dev 4 2 µm
Dev 3 10 µm
Dev 2
Dev 1
Figure 10.22 Five-layer stack with functional ultra-thin Si layers. First two layers
of 2 mm thickness and rest three layers of 10 mm thickness
(40 C) and high (þ125 C) temperatures for 10 cycles each for a period of
30 min at each temperature. After subjecting to each of these tests, the device
behaviour has been tested by measuring the electrical DC characteristics of fab-
ricated transistors.
0.0 1E-9
1E-10
0 –1 –2 –3 –4 –5 0 –1 –2 –3 –4 –5
(a) Drain voltage (V) (b) Drain voltage (V)
0.001
PMOS - Layer 2 PMOS - Layer 2
–500.0 µ As fabricated 1E-4 As fabricated
After transfer After transfer
–400.0 µ Thermal stability Vg = –3 TO –7 v Thermal stability
1E-5
Drain voltage (A)
–200.0 µ 1E-7
–100.0 µ 1E-8
1E-9
0.0
1E-10
0 –1 –2 –3 –4 –5 0 –1 –2 –3 –4 –5
(c) Drain voltage (V) (d) Drain voltage (V)
Figure 10.24 DC measurements of before and after transfer and after the reliability tests (Thermal stability – JESD22-A120A and
Thermal shock – JESD22-A104E-G) of both PMOS devices in Layer 1 and 2: (a) output characteristics with varying
gate voltage for Layer 1, (b) transfer characteristics for Layer 1, (c) output characteristics with varying gate voltage
for Layer 2 and (d) transfer characteristics for Layer 2
16.0 100 m
NMOS - Layer 1 NMOS - Layer 1
Before transfer 10 m
12.0 After transfer
Step = –1 V
Figure 10.25 DC measurements of before and after transfer and after the reliability tests (Solubility test – JESD22-A120A) of the
NMOS device in Layer 1 and PMOS device in layer 2. (a) Output characteristics with varying Vg for Layer 1 (b)
Transfer characteristics for Layer 1 (c) Output characteristics with varying Vg for Layer 2 (d) Transfer characteristics
for Layer 2
3D packaging for the integration of heterogeneous systems 347
(a) (d)
LED
Via Heater
Top glass
Epoxy
Filter 2 Filter 2
Filter 1 SU8 SU8 Filter 1
N+ N+
P type-silicon P type-silicon
(b) (e)
Silver epoxy LED
Via Heater
Top glass
Epoxy
Filter 2 Filter 2
SU8 Filter 1 SU8 Filter 1
N+ N+
P type-silicon P type-silicon
(c) (f)
Figure 10.26 Schematic of the process flow for 3D heterogeneous stack: (a)
silicon photodetector fabrication, (b) filter bonding using SU-8,
(c) planarization of the filter stack, (d) bonding of the microfluidic
chip (microheater on glass) using epoxy, (e) planarization and via
opening for interconnects and (f) screen-printed interconnects
xy
epo
ver
D
S il
LE
s
las
pg
To
r
ate
or
ect
He
det
oto
Ph
2
ter
ds
oxy
Fil
pa
Ep
1
ct
ter
8+
nta
Fil
SU
Co
200 µm
Figure 10.27 False-coloured SEM image of the device showing all the
components in the system
2.1 cm
2.5 cm
was defined using lithography and oxide wet etch. During wet etching of oxide, the
backside of the wafer was protected using photoresist. Phosphorus (nþ) diffusion
was performed in a POCl3 diffusion furnace at 900 C for 15 min (pre-deposition),
followed by 10 min of annealing in the nitrogen ambient (drive-in) without
removing the phospho-silicate glass (PSG), which was formed during the pre-
deposition step. After the diffusion process, PSG was removed in a dilute HF bath.
In comparison with the simulated photodetector sheet resistance 16.14 W/&, the
measured sheet resistance after fabrication was 17.33 W/&. The sheet resistance
measurements were performed using the four-probe measurement technique. This
was followed by contact metallization using 20 nm chrome and 100 nm gold.
Finally, after the metal patterning, the wafers were annealed in the forming gas
ambient for 15 min at 400 C to passivate the dangling bonds and interface states.
The fabricated photodetector die can be seen in Figure 10.29(a).
(a) (b) SU -8
SiO 2 Planarized
Cr/Au
Micro
(c) (d) via
contact LED
Heater
heater
Pho connec
inte
tode
r
vias
tect s
or
t
LED
Silver
contacts
100 µm
(g)
LED
Microheater
1,000 µm
Figure 10.29 Micrographs at various stages of the fabrication process: (a) silicon
photodetector, (b) filters bonding and SU-8 planarization, (c) glass
microfluidic chip (with microheater) bonding using epoxy,
(d) planarized stack and open vias for metallization, (e) final device
with bonded LED and interconnections, (f) SEM image of LED and
the silver epoxy used for bonding LED, and (g) SEM image of
microheater and LED on the microfluidic chip
were on heterogeneous substrates, using through-substrate via (TSV) was not fea-
sible. Instead our approach uses interconnects at the edge of the dies as presented in
previous chapters. To enable the formation of electrical routing and interconnects at
the edges, the stack needs to be planarized after each bonding step. For planariza-
tion spin-coated SU-8 was used in this work. SU-8 is available in various ranges of
viscosities allowing formation of planarizing layers with varying thickness. This
allows stacking and planarization of devices having different thickness without
significant changes in the process. An additional benefit of choosing SU-8 was its
ability to be lithographically patterned even for very thick layers which makes
formation of high aspect ratio vias for interconnects very simple.
Various epoxies including SU-8, EPO-TEK UJ1190, 377, 353-ND, Fevicol
Fevikwik and M-Bond were investigated for bonding the components to the stack.
The key properties of these bonding materials which effect the stacking are coef-
ficient of thermal expansion (CTE), viscosity, glass transition temperature, out-
gassing, adhesion, curing methodology, colour (transparent or opaque) and optical
properties. Properties of the bonding epoxies are compared in Table 10.1.
Considering the low viscosity, very low outgassing and better optical transmission
properties, EPO-TEK 377 and UJ1190 (shown inside the dotted line box in the
table) were selected for bonding of the microfluidic device. To keep the process
simple, SU-8 was used to bond the filters on the photodetector. The filters were
aligned manually using the alignment marks structured on the photodiode chip. The
alignment marks (þ) can be seen in Figure 10.29(a) and (b).
10.5.2.2 Bonding plastic filters to silicon photodetector
During the integration process, it was observed that the optical filters were reacting
with SU-8 and other solvents which made them incompatible with the fabrication
process. In order to make them compatible with the integration steps, we decided to
conformally coat them with a process compatible polymer. Parylene was selected
due to its good thermal endurance, zero outgassing and strong resistance to various
solvents, acids and alkalis. A 1–1.5-mm-thick conformal coating of Parylene-C
was obtained by a vacuum-coating approach, using PDS 2010, SCS Labcoater.
Parylene-coated filters were found to be compatible with the fabrication processes.
To enhance the bonding strength, the hydrophobic Parylene-coated filters were
treated with oxygen plasma before bonding. The 120 s oxygen plasma surface
activation was carried out in an Oxford Instruments Reactive Ion Etching (RIE)
tool (PlasmaLab100). The plasma process used ICP power of 300 W, platen power
of 50 W, platen temperature of 15 C, chamber pressure of 10 mTorr and oxygen
mass flow rate of 10 sccm.
After plasma treatment, these filters were immediately subjected to SU-8
bonding as follows. Bonding process begins with cleaning the photodetector dies in
acetone and IPA. The photodetector dies were next spin-coated with SU-8 2005 at
2,000 rpm to obtain a 6–7-mm-thick film. Parylene-coated filter was bonded
manually above the active (nþ) region of the photodiode followed by baking the
sample on a hot plate at 95 C for 3 min. During assembly, a flat Teflon tweezer
was used to squeeze out any trapped air. Such an approach is feasible for flexible
substrates only. For brittle substrates, this approach of mechanically squeezing out
Table 10.1 Key properties of the bonding materials
# CTE 106 Viscosity Tg Glass Outgassing Adhesion Curable Appearance Comments Optical properties
in/in C Centipoise transition @ 200 C kg/cm2 @ 23
Below Tg temp C
Fevicol- – 40–50 – High ~70 RT Clear Non- –
Fevikwik patternable
M-Bond – 2.038 – High ~2–2.8 RT Amber Non- –
patternable
EPO-TEK 54 3,000–5,000 90 0.22% >140.6 Thermal Amber/Dark Non- 50 % @ 550 nm
353 ND @ 50 rpm red patternable and 98%
800–1,000 nm
EPO-TEK 68 501 @ 100 rpm 100 0.04% – UV Pale yellow Non- 94 % @ 520 nm–
UJ1190 patternable 1,000 nm and
80%
380–1,000 nm
EPO-TEK 57 150–300 95 0.06% 102.3 Thermal Amber/Dark Non- 90% @ 600 nm–
377 @100 rpm red patternable 1,000 nm
SU-8 52 2005:6 50 (not Cross 7.5% @ best Thermal Clear Patternable >90% @ 400 nm–
2015:1500 link linked) 95 C 800 nm
2,100:> 210 (Cross
15,000 linked)
3D packaging for the integration of heterogeneous systems 355
trapped air can lead to device breakage. To bond the second filter over the first
one, same process of bonding using SU-8 has been repeated as shown in
Figure 10.26(b). The alignment accuracy of the first filter to the photodetector
active region was ~50 mm and was performed under the microscope. And the filter
to filter alignment was ~150 mm. The same can be observed in the SEM image, as
shown in Figure 10.30(d).
s
(a) U- (b) (c) Air bubble
ar S ap
n - plan A ir tr
No
150 µm
(d) (e) Filter + SU8
Filter 2 100 µm
Filter 1 50 µm
0 µm
100 µm Silicon
–50 µm
(f) (g) (h) (i)
148 µm 104 µm 23 µm
185 µm 185 µm 154 µm
Filter 2 ~90 µm ~90 µm
Filter 1 ~90 µm ~90 µm 110 µm
Figure 10.30 (a) Defects appearing due to non-planar SU-8 after filter stack
planarization step, (b) air traps due to SU-8 outgassing, (c) air-
traps due to SU-8 bonding, (d) SEM image after the filter stacking,
(e) 3D mapping of the device after the first planarization step using
the surface profiler, SEM image at the (f) centre of the device, (g)
towards the centre of the device, (h) starting of the double filter and
(i) starting of the single filter
356 MEMS resonator filters
100 mJ/cm2. Between each step, a gap of 30 s was provided to relax and cool the
substrate. Such an approach prevents the T-topping [85] of the resist, by reducing
the surface heating of the SU-8 film. Post-exposure bake was performed at 60 C
for 10 min and 85 C for 25 min. The temperature ramp up and ramp down were at
a rate of 5 C min1. A 10 min relaxation at RT was performed after the softbake,
exposure and post-exposure bake steps. Post-bake relaxation helps the photoresist
film to attain its optimum hydration levels by reabsorbing the lost moisture. The
samples were then developed in SU-8 developer for approximately 22 min with
mild agitation. The completion of the development process was judged through
optical inspection. Following the IPA/DI water rinse, hard-bake was performed at
95 C for 5 min. Figure 10.29(b) shows the photograph after successful bonding of
the two-filters on the stack and subsequent planarization.
On some of the sample’s planarization failed due to the formation of a wavy
SU-8 film as shown in Figure 10.30(a). This failure was easily detected through
optical inspection after the lithography process. Even though the reason for the
formation of wavy SU-8 film is not very clear, the failure was observed particularly
in the stacks where Parylene was damaged at the corners of the filters. If the coated
filters were not handled properly, Parylene was observed to peel off from the edges
of the filter. This issue was resolved through improving device handling and sorting
out the filters with damaged Parylene coating through added inspection steps.
Subsequent bonding to such wavy SU-8 layers leads to integration failure from
incomplete bonding or appearance of trapped air.
SEM image after bonding the two filters using SU-8 2005 can be seen in
Figure 10.30(d). After the planarization step, 3D topographical map was generated
using the Dektak surface profiler. Cross-sectional SEM images show the SU-8
thickness at various points after the planarization. SEM image at the centre of the
filters can be seen in Figure 10.30(f), which shows ~148-mm-thick SU-8 above the
filter stack. As we move away from the centre, SU-8 thickness is reduced to ~104
mm as shown in Figure 10.30(g). Figure 10.30(h) and (i) shows the SEM image at
the edge of the double and single filter stacks, respectively. From the 3D map and
the SEM image, it was clear that the SU-8 was higher above the filter and reduces
towards the edge of the die, which indicates that SU-8 could not planarize the stack
completely. The current planarization step is ensuring a smooth transition of SU-8
from the top of the filters to the photodiode.
95 C (Micro Chem SU-8 2000 data sheet). Most of the stress issues in
SU-8 happen due to this crosslinking related volume shrinking. With glass cov-
erslip on the top and the photodetector stack at the bottom, the gas generated gets
trapped and leads to bubbles. To address this issue, EPO-TEK epoxies UJ1190
and 377 were considered. EPO-TEK UJ1190 is a UV curable epoxy which has
very low outgassing (0.04% at 200 C). A 20 ml drop of epoxy was dispensed over
the photodetector stack followed by aligning the glass wafer to the device under
the microscope achieving an alignment accuracy in the order of 100 mm using the
alignment marks on the photodetector die. The assembled stack was exposed to
UV, with a dose of 150 mJ/cm2 for 5 times at a regular interval of 20 seconds.
The exposure was followed by relaxation of 50 min. Figure 10.29(d) shows
the epoxy-bonded sample without any trapped gas. As epoxy wetted the glass
surface well, the Parylene coating step was not required. Using EPO-TEK 377
also gave similar results. The remaining non-planarity after the SU-8 step is
removed by the epoxy bonding step, as seen in Figure 10.30.
Heater via LED via Print failures at the steps Silver printing
(d) 100 µm SU-8
Silver
Via ink (e)
100 µm
Figure 10.32 (a) Inkjet-printed device, (b) inkjet-printed device with defects at the
various contours, (c) screen-printed device without any defects,
(d) SEM image of the inkjet-printed device showing various
contours in the device and (e) SEM image after the screen printing
3D packaging for the integration of heterogeneous systems 359
responsivity (A/W)
0.25
Spectral
–2 0.20
10
0.15
0.10
10–3 400 500 600 700
Wavelength (nm)
Diode
Single filter with Parylene
Double filter with Parylene
10–4
400 500 600 700
(a) Wavelength (nm)
10–1
Spectral responsivity (A/W)
10–2
Diode
Single filter without Parylene
10–3 Double filter without Parylene
Single filter with Parylene
Double filter with Parylene
SU8 stack with two filters bonded
Final device
10–4
400 500 600 700
(b) Wavelength (nm)
10–2
0 V Heater
5 V Heater
10–3 10 V Heater
13 V Heater
10–4
400 500 600 700
Wavelength (nm)
30.5 °C 70 °C 55
Without water drop
MAX AVG MIN 50 With water drop 6
60 °C
Temperature (°C)
Current (mA)
50 °C 4
40
40 °C 35
2
30
30 °C
25
0
20 °C 0 2 4 6 8 10 12 14 16
(a)
(b) Voltage (V)
Figure 10.35 (a) IR image of the device under test and (b) temperature vs. voltage
plot of the on-chip microheater
SMU
SMU
Heater
Blue LED
n+
SMU Photodetector
Figure 10.36 Ray path of the complete setup: the 430 nm peak wavelength blue
LED was used as an excitation source and was directed towards the
test sample. The light beam falling on the filter stack would allow
only the fluoresced light and directs the same towards the
photodetector detector. The change in the current of the
photodetector was recorded using the SMU
LED
Test samples –
1 µM, 10 µM and
100 µM
concentrations Microheater
Photodetector
Figure 10.37 Test setup with three source meters for the LED, microheater and
photodetector, device under test and the Rhodamine dye
note that the integrated LED is facing sideways on the fluidic chip. This makes the
emission axis of the LED parallel to the chip surface and hence, allowing illumi-
nation of a droplet placed on the fluidic chip. The test sample (Rhodamine) was
placed on the top surface of the microheater. The light is emitted in all directions
from the dye droplet. The filters block the wavelengths associated with excitation
spectrum while allowing only the fluoresced light from the test sample. The
measurements were performed in a closed box as shown in Figure 10.37. The
fluoresced light will give rise to a change in the current which was recorded using
3D packaging for the integration of heterogeneous systems 363
another SMU. The effect on Rhodamine with temperature was also studied by
providing voltage to the microheater. The test sample was placed directly on the top
surface of the microheater assembly, to ensure the supplied temperature reach the
test sample. After each measurement, the surface of the sample was wiped
with IPA.
An image of the experimental setup is shown in Figure 10.37, which consists
of wire-bonded test sample, three sourcemeters (Kiethley 2460) to supply voltages
to the photodetector, LED and microheater. Rhodamine B and 6G were purchased
as powder from Sigma-Aldrich. Rhodamine B has an excitation peak wavelength of
lex ¼ 553 nm and an emission peak wavelength lem ¼ 627 nm. Rhodamine 6G [87]
has an excitation peak wavelength of lex ¼ 526 nm and an emission peak wave-
length of lem ¼ 557 nm. Solutions of 1, 10 and 100 mM concentration were pre-
pared in DI water. In our experiments the photodiode measured the intensity of the
light emitted by the test samples with and without heating to demonstrate the
functionality of the 3D heterogeneous microfluidic system.
Photodetector output (µ A)
concentration
Photodetector output (µ A)
16 16
12 12
8 8 0 µM Concentration
0 µM Concentration
1 µM Concentration 1 µM Concentration
10 µM Concentration 4 10 µM Concentration
4
100 µM Concentration 100 µM Concentration
3 4 5 6 7 8 9 10 3 4 5 6 7 8 9 10
(a) Blue LED input (V) (b) Blue LED input (V)
10 µM Concentration
Photodetector output (µ A)
24 24 10 µM Concentration
Increasing
21 21 concentration
Increasing
18 concentration 18
15 15
12 12
0 2 4 6 8 10 12 0 2 4 6 8 10 12
(c) Voltage to heater (V) (d) Voltage to heater (V)
Figure 10.38 Photodetector output current vs. LED input: (a) Rhodamine 6G,
(b) Rhodamine B: photodetector output current vs. voltage to the
heater, (c) Rhodamine 6G and (d) Rhodamine B
Heater
Glass
1,000 µm Filter 1 SU-8 + epoxy
Filter 2 Photodetector
Figure 10.39 Isometric and the cross-section SEM image of the device with all the
components in the system
3D packaging for the integration of heterogeneous systems 365
10.6 Summary
We have discussed innovative processing technologies that would allow 3D
packaging by the post-fab vertical stacking technique, suitable for the packaging
industry. These novel simple processes may pave way towards 3D-stacked ultra-
thin devices.
References
[1] Saraswat K. Silicon compatible optical interconnects. 2016 IEEE Int
Interconnect Technol Conf/Adv Met Conf IITC/AMC 2016. 2016
[2] Dennard RH, Gaensslen FH, Hwa-Nien YU, Leo Rideout V, Bassous E, and
Leblanc AR. Design of ion-implanted MOSFETs with very small physical
dimensions. Proc IEEE. 1999;87(4):668–78
[3] Iyer SS, and Kirihata T. Three-dimensional integration: A tutorial for
designers. 2015;7(4):63–74
[4] Knickerbocker, Andry PS, Dang B, Horton RR, Interrante MJ, Wright
CSPRJPKSRSEJSSMS a MS a WTCKTBCWSL, et al. Three-dimensional
silicon integration. Int Bus. 2008;52(6):553–69
[5] Iyer SSS. Heterogeneous integration for performance and scaling. IEEE
Trans Components, Packag Manuf Technol. 2016;6(7):1–10
[6] Moore GE. Cramming more components onto integrated circuits (Reprinted
from Electronics, pp. 114–117, April 19, 1965). Proc IEEE [Internet]. 1965
[cited 2017 Aug 7];86(1):82–5. Available from: papers3://publication/uuid/
8E5EB7C8-681C-447D-9361-E68D1932997D
[7] Beyne E. The 3-D Interconnect technology landscape. IEEE Des Test.
2016;33(3):8–20
[8] Lau JH. 3D IC Packaging and integration. 2015; Available from: http://s3.ama-
zonaws.com/sdieee/1817-SanDiegoCPMTDL_Lau_advancedpackaging.pdf
[9] Christiaens W, Bosman E, and Vanfleteren J. UTCP: A novel polyimide-
based ultra-thin chip packaging technology. IEEE Trans Components
Packag Technol. 2010;33(4):754–60
[10] Iyer SS. Three-dimensional integration: An industry perspective. MRS Bull
[Internet]. 2015;40(03):225–32. Available from: http://www.journals.cam-
bridge.org/abstract_S0883769415000329
[11] Fischer AC, Forsberg F, Lapisa M, et al. Integrating MEMS and ICs.
Microsystems Nanoeng [Internet]. 2015 May 28 [cited 2017 Aug 7];
1(April):15005. Available from: http://arxiv.org/abs/1604.04843
[12] Farooq MG, and Iyer SS. 3D integration review. Sci China Inf Sci. 2011;
54(5):1012–25
[13] Govaerts J, Bosman E, Christiaens W, and Vanfleteren J. Fine-pitch cap-
abilities of the flat ultra-thin chip packaging (UTCP) technology. IEEE
Trans Adv Packag. 2010;33(1):72–8
[14] Bleiker SJ. Heterogeneous 3D Integration and packaging technologies for
nano-electromechanical systems. 2017
366 MEMS resonator filters
[32] Ho SW, Ding MZ, Lim PS, et al. 2.5D through silicon interposer package
fabrication by chip-on-wafer (CoW) approach. Proc 16th Electron Packag
Technol Conf EPTC 2014. 2014;(65):679–83
[33] Wang MJ, Hung CY, Kao CL, et al. TSV technology for 2.5D IC solution.
Proc - Electron Components Technol Conf. 2012;284–8
[34] Shulaker MM, Hills G, Park RS, et al. Three-dimensional integration of
nanotechnologies for computing and data storage on a single chip. Nature
[Internet]. 2017;547(7661):74–8. Available from: http://dx.doi.org/10.1038/
nature22994
[35] Lee KH, Wang Y, Wang B, et al. Monolithic integration of Si-CMOS and III-V-
on-Si through direct wafer bonding process. 2017;6:571–578, 2168-6734(001)
[36] Park J. Physics and technology of low temperature germanium Mosfets for
monolithic three dimensional integrated circuits. Jin-Hong Park [Internet].
2009;(June). Available from: http://cis.stanford.edu/~saraswat/Thesis/Jin-
Hong Park Thesis.pdf
[37] Deshpande, V, Djara, V, Connor, E. O. et al. Three-dimensional monolithic
integration of III–V and Si (Ge) FETs for hybrid CMOS and beyond tech-
nology three-dimensional monolithic integration of III–V and Si (Ge) FETs
for hybrid CMOS and beyond. Jpn J Appl Phys. 2017;56(4s):04CA05
[38] Ren J, Member S, Liu C, and Tang CW. A novel Si–GaN monolithic inte-
gration technology for a high-voltage cascoded diode. IEEE Electron Device
Letters. 2017;38(4):501–4
[39] Kerr AJ, Lee H, Palacios T, et al. Monolithic integration of silicon CMOS
and GaN transistors in a current mirror circuit. J Vac Sci Technol B. 2017;
30(2):02B101 (2012)
[40] Lee KHKEK, Bao S, Kohen D, et al. Monolithic integration of III-V HEMT
and Si-CMOS through TSV-less 3D wafer stacking. Proc - Electron
Components Technol Conf. 2015;2015–July (March 2016):560–5
[41] Fitzgerald EA. Novel integrated circuit platforms employing monolithic
silicon CMOS þ GaN devices. Trans ECS, Soc TE. 2016;75(12):31–7
[42] Shulaker MM, Wu TF, Sabry MM, Wei H, Wong HP, and Mitra S. Monolithic
3D integration: a path from concept to reality 2015. 2015;1197–202
[43] Koyanagi M. Challenges in 3D integration. Trans ECS, Soc TE. 2013;53(3):
237–44
[44] Koyanagi M. Heterogeneous 3D integration - Technology enabler toward
future super-chip. Tech Dig - Int Electron Devices Meet IEDM. 2013;8–15
[45] ITRS. International Technology Roadmap for Semiconductors 2.0 2015
Edition outside system connectivity. 2015;1–37
[46] Garrou P, Lu JJQ, and Ramm P. Three-dimensional integration. Handbook
of Wafer Bonding New York: Wiley. 2012;(December):301–28
[47] ITRS. International Technology Roadmap for Semiconductors, Edition
2015, Beyond C-MOS. Int Technol Roadmap Semicond. 2015
[48] Shulaker MM, Hills G, Park RS, et al. for Computing and data storage on a
single chip. Nat Publ Gr [Internet]. 2017;547(7661):74–8. Available from:
http://dx.doi.org/10.1038/nature22994
368 MEMS resonator filters
[65] Datta-Chaudhuri T, Smela E, and Abshire PA. Calhoun: The NPS institu-
tional archive Dspace repository system-on-chip considerations for hetero-
geneous integration of CMOS and fluidic bio-interfaces system-on-chip
considerations for heterogeneous integration of CMOS and fluidic bio-
interfaces. IEEE Trans Biomed Circuits Syst [Internet]. 2016 [cited
2017 Aug 7];10(6). Available from: http://hdl.handle.net/10945/52401
[66] Temiz Y, Kilchenmann S, Leblebici Y, and Guiducci C. 3D integration
technology for lab-on-a-chip applications. Electron Lett [Internet]. 2011;47
(26):S22. Available from: http://digital-library.theiet.org/content/journals/
10.1049/el.2011.2683
[67] Datta-Chaudhuri T, Abshire P, and Smela E. Packaging commercial CMOS
chips for lab on a chip integration. Lab Chip [Internet]. 2014;14(10):1753.
Available from: http://xlink.rsc.org/?DOI¼c4lc00135d
[68] Rae BR, Muir KR, Gong Z, et al. A CMOS time-resolved fluorescence
lifetime analysis micro-system. Sensors. 2009;9(11):9255–74
[69] Chung J, Hwang HY, Chen Y, and Lee TY. Microfluidic packaging of high-
density CMOS electrode array for lab-on-a-chip applications. Sensors
Actuators B Chem [Internet]. 2018 [cited 2017 Aug 7];254:542–50.
Available from:http://ac.els-cdn.com/S0925400517313291/1-s2.0-S092540
0517313291-main.pdf?_tid¼e3daf52a-7b44-11e7-9dde-00000aacb362&
acdnat¼1502092327_eb88c9e103d3a5e1277a0c8d9b27fb46
[70] Dandin M, Abshire P, and Smela E. Optical filtering technologies for inte-
grated fluorescence sensors. Lab Chip [Internet]. 2007;7(8):955. Available
from: http://www.ncbi.nlm.nih.gov/pubmed/17653336%5Cnhttp://xlink.
rsc.org/?DOI¼b704008c
[71] Smela E, Dandin M, and Abshire P. Polymer filters for ultraviolet-excited
integrated fluorescence sensing. J Micromechanics Microengineering
[Internet]. 2012;22(9):95018. Available from: http://stacks.iop.org/0960-
1317/22/i¼9/a¼095018
[72] Nelson N, Sander D, Dandin M, Prakash SB, Sarje A, and Abshire P.
Handheld fluorometers for lab-on-a-chip applications. IEEE Trans Biomed
Circuits Syst. 2009;3(2):97–107
[73] James TD, Scullion MG, Ashok PC, Di Falco A, Dholakia K, and Krauss TF.
Valve controlled fluorescence detection system for remote sensing applica-
tions. Microfluid Nanofluidics. 2011;11(5):529–36
[74] Lim J, Gruner P, Konrad M, and Baret J-C. Micro-optical lens array for
fluorescence detection in droplet-based microfluidics3. 2013 [cited
2017 Aug 7];13. Available from: www.rsc.org/loc
[75] Myers FB, and Lee LP. Innovations in optical microfluidic technologies for
point-of-care diagnostics. Lab Chip [Internet]. 2008;8(12):2015–31.
Available from: http://pubs.rsc.org/en/content/articlehtml/2008/lc/b812343h
%5Cn/Users/flisi/Google Drive/7.0 Virus sensors/2008,Rev,LoC-
Innovations in optical microfluidic technologies for PoC diagnostics.pdf%
5Cnhttp://dx.doi.org/10.1039/B812343H
370 MEMS resonator filters
[76] Yao M, Shah G, and Fang J. Highly sensitive and miniaturized fluorescence
detection system with an autonomous capillary fluid manipulation chip.
Micromachines. 2012;3(2):462–79
[77] Long F, Zhu A, Zhou X, et al. Highly sensitive and selective optofluidics-
based immunosensor for rapid assessment of bisphenol A leaching risk.
Biosens Bioelectron [Internet]. 2014;55:19–25. Available from: http://dx.
doi.org/10.1016/j.bios.2013.11.069
[78] Zhang R, Zhao BB, Huang K, et al. The 2018 GaN power electronics
roadmap. Appl Phys Lett [Internet]. 2017;8(5):999–1002. Available from:
http://xlink.rsc.org/?DOI¼C7TC02221B
[79] Lafleur JP, Jönsson A, Senkbeil S, and Kutter JP. Recent advances in lab-on-
a-chip for biosensing applications. Biosens Bioelectron. 2016;76:213–33
[80] Mata A, Fleischmann AJ, and Roy S. Fabrication of multi-layer {SU-8}
microstructures. J Micromech Microeng [Internet]. 2006;16:276\,-\,284.
Available from: http://dx.doi.org/10.1088/0960-1317/16/2/012
[81] Armani M, Rodriguez-Canales J, Gillespie J, et al. 2D-PCR: a method of
mapping DNA in tissue sections. Lab Chip. 2009;9(24):3526–34
[82] Norian H, Field RM, Kymissis I, and Shepard KL. An integrated CMOS
quantitative-polymerase-chain-reaction lab-on-chip for point-of-care diag-
nostics. Lab Chip [Internet]. 2014;14(20):4076–84. Available from: http://
xlink.rsc.org/?DOI¼C4LC00443D
[83] Sze CCN. Physics of Semiconductor Devices [Internet]. Vol. 10, America.
2014. 739-751 p. Available from: http://link.springer.com/10.1007/978-3-
319-03002-9
[84] Hammond PR. Spectra of the lowest excited singlet states of rhodamine 6G
and rhodamine B. IEEE J Quantum Electron [Internet]. 1979;15(7):624–32.
Available from: http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber¼
1070050
[85] Lee SJ, Shi W, Maciel P, and Cha SW. Top-edge profile control for SU-
8 structural photoresist. Proc 15th Bienn Univ Ind Microelectron Symp (Cat
No03CH37488). 2003;389–90.
[86] Del Campo A, and Greiner C. SU-8: A photoresist for high-aspect-ratio and 3D
submicron lithography. J Micromechanics Microengineering. 2007;17(6):R81
[87] Tapia Estavez MJ, Arbeloa FL, Arbeloa TL, and Arbeloa IL. Absorption and
fluorescence properties of rhodamine 6G Absorbed on aqueous suspensions
of Wyoming montmorillonite. Langmuir. 1993;9(14):3629–34
[88] Ali M a., Moghaddasi J, and Ahmed S a. Temperature effects in rhodamine
B dyes and improvement in CW dye laser performance. Laser Chem
[Internet]. 1991;11(1):31–8. Available from: http://www.hindawi.com/
archive/1991/763535/abs/
[89] Ahmed RM, and Saif M. Optical properties of rhodamine B dye doped in
transparent polymers for sensor application. Chinese J Phys. 2013;51(3):
511–21
Chapter 11
Reliability issues of MEMS resonators
Poorvi K. Joshi1 and Meghana A. Hasamnis1
This chapter introduces the terms and concepts needed to describe and evaluate
MEMS resonator reliability. It is interesting to note that the reliability theory concepts
are also used by actuaries in calculating life insurance premiums. Moreover, the life
span of human provides a useful analogy for component reliability as well. This text
describes some of the main points of various reliability issues of MEMS resonators
arising due to its process technology, operation, and transduction method, the failures
due to the packaging of these devices, aging, and frequency drift.
Lord Kelvin stated, “When you can measure what you are speaking out and
can express it in numbers, you know something about it. But when you cannot
measure it, when you cannot express it in numbers, your knowledge of the subject
is of a meager and unsatisfactory kind”.
So, we will first discuss the quantification of reliability for the system.
11.1 Introduction
Reliability is very much related to availability, which can be described as the
ability of a component or system to perform at a specified moment or at a particular
interval of time.
Reliability underlines the dependability of a product during the lifecycle
management. In terms of availability, reliability can be defined as a probability
assumed or worked out from reliability, testability, and maintainability. In terms of
the frequency of failure, reliability can be defined as the probability of the required
output of a component or system [1].
In nutshell, reliability means how long a component or system will perform its
intended function without a breakdown or degradation of performance over time. It
is the probability that something will work when you want it to.
Reliability requires a worm’s view study of both qualitative and quantitative
parameters of the component or systems. The assessment of reliability is difficult; it
is usually based on available mathematics and statistics relying on validating
1
Department of Electronics Engineering, Shri Ramdeobaba College of Engineering and Management,
Nagpur, India
372 MEMS resonator filters
quantitative methods for prediction and measurement, to find the root cause for the
failure of component and or system. In the absence of validated quantitative and
qualitative, it is easy to portray the probability of success based on equations,
however considering the massively multifaceted nature of probability the exact
magnitude of success is difficult to predict [1].
Reliability, safety, and quality all go hand in hand for predicting the prob-
ability of failure. Reliability focuses on the durability aspect, safety focuses on
preserving life and quality focuses on safeguarding the system requirement. High-
reliability levels can also be achieved from good engineering and from paying
attention to details, rather depending too much on using reliability calculations and
statistics [2].
Lifetime
prediction
Infant
mortality Wear-out
Useful life
Failure rate
Time
l(t)
Bathtub curve
composite
Infant mortality
Wear-out (intrinsic)
(intrinsic)
Accidents (external)
0
Time (t)
Figure 11.3 Illustrates how the bathtub curve can be the composite of three
failure rates: infant mortality, wear-out, and externally induced
failure [4]
of these curves also relates to the phase during which it is the principal contributor
to an individual or an equipment’s hazard rate. Distinctly different phenomena
dominate during each of these three phases of the equipment’s life [3].
Figure 11.3 illustrates how the bathtub curve can be the composite of three
failure rates: infant mortality, wear-out, and externally induced failure.
Bathtub curve or life-cycle curve evaluates [3] and brought to notice the
following:
● typical life history curve for infinite number of items
● comparison of failure rate with time
● three distinct phases – debugging, chance failure, and wear-out phase
376 MEMS resonator filters
During the first phase, debugging (infant mortality) phase, the weaker parts
have not yet been removed from the total part population. As these weaker parts are
identified and removed from the population, either by a formal screening program
or through normal equipment operation, the instantaneous failure rate, or the hazard
rate, decreases rapidly to more or less residual level.
The residual value from the above stage persists through the second and most
important phase, the useful life period. During the useful life period, failures still
occur occasionally but they are random in nature and are randomly distributed with
respect to time. The statistical frequency of these failures can be predicted with
fairly good accuracy, but the exact time and location of actual failures cannot be
pinpointed and predicted.
The third and final phase of equipment life is wear-out. During this phase, the
accumulated damage due to the applied stresses begins to take its toll. The parts generally
become weaker, more prone to failure and thus they fail with increasing frequency. For
most types of electronic parts, the equipment itself becomes obsolete and is retired long
before the parts enter the wear-out period. Thus, for the vast majority of electronic parts
(but unfortunately not for all), the wear-out period can be safely ignored [3,4].
The abstracts of the bathtub curve portions are listed in Table 11.1.
To express lifetime behavior statistically, there are various distributions can be
referred to. The exponential, the Weibull and the Lognormal are the known sta-
tistical reliability distributions.
d
f ðtÞ ¼ FðtÞ
dt
The hazard rate h(t) is also known as the instantaneous failure rate. The
probability of operating without failure up to that time interval.
f ðtÞ f ðtÞ
hðtÞ ¼ ¼
1 FðtÞ RðtÞ
Also written as
1 dRðtÞ
hðtÞ ¼
RðtÞ dt
which is equivalent to
d
hðtÞ ¼ ðln RðtÞÞ
dt
The integral of the hazard rate is the cumulative failure rate (cumulative
hazard rate).
ðt
HðtÞ ¼ hðt0 Þ dt ¼ ln RðtÞ
0
The hazard rate h(t) or instantaneous failure rate has the dimension time1.
Since R(0) ¼ 1 (no failures at time zero), the reliability rate over a time period t is
the exponential of the cumulative hazard rate in that same period t.
378 MEMS resonator filters
Ðt
hðt0 Þ dt0
RðtÞ ¼ e 0
An important quantitative reliability concept is how long the device will sur-
vive without failure. This is also termed meantime to failure (MTTF), more spe-
cifically, the mean-time to the first failure.
ð1
MTTF ¼ t tf ðtÞ dt
0
The failures resulting from thermo-mechanical forces which include the most
common MEMS stress failures, i.e. residual stress, are known as thermo-
mechanical failures.
Static or dynamic charge transfer within materials or across gaps or surfaces
leading to several potential failure modes is categorized as electrical failure.
Environmental failures occur due to various reasons depending on the MEMS
applications in which the sensing element is directly exposed to the operating
environment which could, in some cases, be quite aggressive. The interactions
between environmental forces and the materials within the device can result in
several failure modes in MEMS [4].
Manufacturing
Front-end Back-end
Bonding
Tribological
● Delamination
● Broken parts or particulates being generated or being displaced leading to short
circuits and/or mechanical blockage.
A few points that make MEMS more shock-resistant are mentioned [4] below:
● The small mass of MEMS devices enables devices to easily accommodate
shocks of a few g.
● Spring design also enables to deal with shocks
● Shocks of higher magnitude need more careful design as well as packaging.
● “Stoppers” is one of the techniques to limit the motion of beam as it minimizes
displacement and kinetic energy
● Surfaces which might come into contact to be at the same electrical potential to
avoid a current spike
● Utilization of package to dissipate the shock load.
● Stiction is also equally responsible for failure mode in addition to fractures.
Vibration
Failure due to vibration needs to concentrate on to determine a lower limit on vibration
level which is expected for failure as a function of frequency. Estimation of the vibration
acceleration which brings parts into contact or to reach fracture stress is to be known
before vibration testing to avoid surprises. It can serve as a tool for the designer [4].
The lower limit on maximum safe vibration levels can be determined with the
following three steps [4]:
● Possible failure modes due to vibration are to be identified
● Dynamics of the device is to be determined.
● To generate a plot of a safe lower limit of vibration thereby avoiding contact.
Creep
Plastic deformation under applied strain is called creep, which occurs only in
ductile materials affecting metal thin films and not silicon (except at temperatures
above 600 C). Creep is a consequence of dislocation motion which depends on
Temperature, Stress and Time. It is expressed as d€/dt, where € is the strain and t
the time. With regard to atomic diffusion and dislocation mobility, temperature
plays a key role. The homologous temperature (ratio of operating to melting tem-
perature Tmelt) provides insights related to dislocation mobility [4].
Three temperature regimes which are often used are:
– 0 < T < 0.3 Tmelt: no creep observed
– 0.3 Tmelt < T < 0.9 Tmelt: dislocation motion leading to creep
– 0.9 Tmelt < T < Tmelt: diffusion creep.
By increasing creep resistance of a MEMS device in the following path helps
in reducing creep in MEMS:
● Operating temperature to be reduced
● Applied stress levels to be reduced
● Material to be changed.
384 MEMS resonator filters
Few points regarding creeps allowing MEMs device more reliable [4] are:
● As long as there is no metal on the suspension, silicon MEMS are not affected
by creep roughly below 600 C. This is observed for SiNx and SiOx
flexures too.
● Creep can be one of the main failure modes for metal MEMS
● For metal MEMS, creep can be mitigated by a few means, viz. reducing the
applied stress, reducing operating temperature, or a change of material.
Fatigue
Initially, fatigue was considered to be an important failure mode since MEMS has
moving parts, but it does not turn out to be a life-limiting factor in any commercial
MEMS device. For silicon, fatigue occurs only for applied stresses greater than half
the single-cycle fracture strength and thus any reasonable design will not have
stress levels too high for fatigue to be relevant. For metal MEMS, fatigue can occur
at lower relative stress levels but is generally much less problematic.
By controlling the maximum stress and the relative humidity, it is possible to
avoid fatigue. Metal MEMS are more susceptible to fatigue because of their lower
melting point [4].
Dielectric charging
Principally, electrostatically driven or sensed MEMS devices are the ones which got
affected with dielectric charging. MEMS having electromagnetic or thermal actua-
tion or sensing principles are not sensitive to dielectric charging.
Bulk and surface leakage currents, and associated trapped charge, are a strong
function of voltage, temperature, and relative humidity. In MEMS devices, the stress
due to dielectrics must be carefully taken care of because it often serves a structural role.
Electrostatic forces which are undesired and difficult to predict time-varying
rising from charging of the dielectrics in MEMS structures is the reason a serious
performance issue for a wide range of electrostatically driven or sensed MEMS
devices including microphones, displays, micromirrors, and RF switches.
The gradual shift in actuation, or a gradual change in rest or actuated position,
or a gradual shift in release voltage is observed due to the failure modes related to
dielectric charging. Drift in applied electrostatic force as a function of time is the
main reason behind this.
The ways to solve or minimize the “charging” problem [4] are as mentioned below:
● Bipolar AC drive voltage
● Geometry changes: to minimize the area of exposed dielectric, or pattern the
dielectric
● Shield-movable parts (sense mass, actuators) from electric fields due to trap-
ped charge, selectively remove dielectric to avoid charging
● Charge dissipation layers to remove the surface charge and provide shielding
● Change dielectric or change composition to reduce the amount of trapped charge or
● Decrease discharging time constants (e.g., SiOx instead of SiNx)
● Reduce electric fields (e.g., thicker dielectric or with higher dielectric constant,
● Redesigned springs to operate at lower voltages)
● Optimized drive voltage (multi-level: one to actuate, one to hold), or charge
monitoring
● Control of packaging ambient to minimize humidity and contaminants.
neighboring electrodes). The need to observe the electrical breakdown happens due to
the sudden voltage and due to the current pulse from electrostatic discharge (ESD)
event. The study of the lower but longer-lasting voltage from the normal drive signal
for a MEMS device is also to be worked out. The approach to study this breakdown is
passing through the following sequence, viz. breakdown through a gas, then though a
solid, and finally the effect of ESD discharge on MEMS, for which the mechanical
time constant is generally much longer than the pulse duration [6].
Electromigration
Migration of metal atoms under an applied electric field is called electromigration
(EM). It is to be noted that one must distinguish electrolytic (metal ions in solution)
from solid-state (atom motion in a metal wire or trace due to electron momentum
transfer) electro migration. Electrolytic EM leads to failures by dendritic bridging
which takes place on the printed circuit board level when enough moisture is pre-
sent to allow surface conduction between neighboring conductors by ionic trans-
port. Solid-state EM leads to failures such as voids and dendrites which occurs in
microfabricated wires, in which the momentum from electrons can cause atomic
displacement of the conductors when the current density and temperature are suf-
ficiently high. Since very high current densities are encountered in IC circuits,
Solid-state electromigration is an important failure mode for microelectronics
which does not depend on ambient moisture [4].
11.6.4.1 Radiation
Suitability of electronics components for use in high-radiation environments and
design techniques to develop radiation-tolerant electronics and optics have adopted
worm’s eye views over a period of time. There exist well-established test procedures
for space applications taking into consideration the physics of how different energetic
particles interact with matter, the types of damage that are caused, and the influence
on most electronic devices, optical components, and mechanical parts. There is no
standard test procedure for the effect of radiation on MEMS due to the vast range of
materials, technologies and applications MEMS have found out into. There are some
proposed approaches though to test the effect of radiation on MEMS [4].
Reliability issues of MEMS resonators 387
The point concerning the high end of space mission doses’ effect on the
mechanical properties of silicon and metals is mostly unchanged as yield strength is
not significantly affected. Silicon as a structural material can be viewed as intrin-
sically radiation hard. This feature of silicon makes most MEMS devices
mechanically radiation-tolerant by default.
Accumulation of charge in dielectric layers due to ionizing radiation is the
main reason for MEMS devices failure. The trapped charge leads to device failure
which happens due to the instance of large changes in calibration of capacitive
accelerometers, or device failure due to stiction initiated by electrostatic forces
from the trapped charge. As such, the devices are needed to be shielded or built
with radiation-tolerant technologies.
The main types of radiation encountered near-earth consist of [4]:
● Trapped radiation: energetic electrons and protons magnetically trapped around
● the earth (Van Allen belts)
● Solar energetic particles: mostly highly energetic protons
● Galactic cosmic-rays: the continuous low flux of highly energetic
● Secondary radiation: radiation generated when the above radiation interacts
with materials in the spacecraft, notably with shielding.
Accelerated radiation testing methods have been explored despite the complexity
of the actual space radiation environment. Accelerated radiation testing methods have
been developed with the utilization of mono-energetic particles whose relevance and
suitability has been suitably demonstrated for microelectronic devices [7].
11.6.4.2 Electrostatic MEMS sensors and actuators
The main reason for failure in electrostatic MEMS devices at high radiation doses
is the accumulation of charge in dielectric layers. It seems that failure occurred due
to Mechanical issues however the root cause is the Electrical one. For a given
device, total ionizing dose (TID) is the main radiation parameter that quantifies the
amount of charging [4].
11.6.4.3 Radiation-hardening MEMS
The different impact that trapped charge in dielectric layers has on different
actuation schemes and geometries relates directly to the difference in sensitivity of
MEMS devices to radiation. MEMS operating on electrostatic principles are the most
sensitive to charge accumulation in dielectric layers. However, MEMS operation on
thermal and electromagnetic principles is much more radiation-tolerant.
Following are the techniques that eliminate or minimize the charging effects [4]:
● avoid undesired electrostatic forces (due to the charging of conductors)
● Change of dielectric material to one with lower trap density
● Geometry changes to eliminate the dielectric from between moving surfaces,
and from under moving surfaces and to minimize the exposed area of dielec-
tric, or replacing the dielectric films with arrays of dielectric posts
● Electrical shielding, by covering exposed dielectric with a conductor as at
well-defined potential
388 MEMS resonator filters
filled by the. The purpose is to include all the failure modes that can occur using the
cause-and-effect approach, [4], viz.
● How the failure occurs
● What parameters are changed
● What prevention measures are safeguarded
● What actions are taken to mitigate or eliminate the failure
● How the corrective actions in the form of reliability testing, inspections, data
gathering and analysis are taken for eliminating the failure.
Define goals and scope of FMEA Select team Gather and prepare background
information
Determine recommendations
Track results through to closure Implement recommendations
and document analysis
Figure 11.5 An example flowchart for the generation of reliability FMEA [4]
Reliability issues of MEMS resonators 391
● The second value is an occurrence, which is assigned via the “effect” of the
failure mode. The likelihood of an occurrence (O) value is how often the effect
is predicted to be observed. Again, a 1–10 scale is given to occurrence where a
1 is very unlikely and a 10 is inevitable.
● The third value is detection (D). This is a function of the effectiveness of the
prevention or mitigation measures adopted. If the outcome of detection by the
inspection and test within the manufacturing facility, or reliability testing
before shipment to the customer cannot bring out a failure mode and if it
spills up to the customer then the detection level is 10. It means that the failure
mode cannot be detected. Detection level will be 1 if there is a 100% surety
that controls are in place which will result in catching the failure before ship-
ment to the customer and the customer will not experience this particular
failure mode.
Analytical methods for failure analysis or characterization of MEMS parts are the
most important aspects for reliability and those are too numerous to present, thus, a
few key techniques are covered like dynamic analysis (laser Doppler vibrometry),
structural techniques (interferometry, SEM, EBSD, FIB, TEM, AFM) and chemi-
cal/compositional techniques (Auger).
Camera
Field
stop Combined reference
and test beams
[Light source] Beam splitter
Objective
Scan Reference mirror
direction Beam splitter
Focal plane
Test material
Figure 11.6 Basic operation of optical profiler [8]. Image courtesy of ZYGO
Figure 11.8 NewViewTM 90003D optical surface profiler [8]. Image courtesy
of ZYGO
measure a wide range of surface types, including smooth, rough, flat, sloped and step-
ped. All measurements are non-destructive, fast, and require no sample preparation.
At the core of the system is Zygo’s Coherence Scanning Interferometry (CSI)
technology which delivers sub-nanometer precision at all magnifications and mea-
sures a wider range of surfaces faster and more precisely than other commercially
available technologies, thus optimizing your return on investment (Figure 11.9).
All NewView 9000 profilers (Figure 11.10) are equipped with a triple-zoom
turret which can be populated with discrete zoom optics tailor-made for the system.
394 MEMS resonator filters
(a) (b)
Figure 11.10 (a) NewView 9000 optical profiling system [8]. Image courtesy of
ZYGO. (b) Open work area features clear lines of sight [8]. Image
courtesy of ZYGO
Figure 11.11 NexviewTM NX2 3D optical surface profiler [8]. Image courtesy
of ZYGO
SEM column
FIB column
92°
Specimen
Figure 11.12 LEO 1500 cross beam FIB SEM system [10]. Reprinted with
permission copyright 2003 SPIE
Microstage
FIB SEM
Gas injectors
Reservoirs and
pneumatic valves Sample
Figure 11.13 Gas injection system for FIB dual-beam system. [10] Reprinted with
permission copyright 2003 SPIE
A gallium ion beam is used in FIB to sputter the sample for cross-section and
also it can deposit material (typically tungsten) to samples. Figure 11.13 shows the
gas injection system of the FIB. The beam starts in the coarse sputtering mode, and
gradually reduces the sputter rate to a final polish phase [9].
The beam can be reduced to less than 1 m in diameter which allows localized ele-
mental surface information [10].
Electron
Electron beam beam
p+ type Interaction
volume
Interaction Current SEM Current SEM
n- type volume amplifier display n- type p+ type amplifier display
Ohmic contact
(a) (b)
Figure 11.14 (a) Schemes of EBIC plan-view identification [13] (b) Schemes of
EBIC cross-section-identification [13]
Reliability issues of MEMS resonators 399
used for reliability studies is ring resonators. Physical design specifications con-
sidered for ring resonator are ring diameter 6 mm, ring width 120 mm, ring thick-
ness 100 mm, and resonant frequency 14,000 Hz. The frequency split between
mode1 and 2 is desirable for close to zero since for getting high sensitivity the
resonant motion is used on this sensor. The acceptable range of the frequency split
depends on its quality factor (Q-value). It is observed that a high Q requires a small
frequency split, whereas a low Q brings a wide allowance to the frequency split.
However, a high Q-value is suitable for high sensitivity. To develop a more accu-
rate gyro sensor for meeting the desired output for ESP application, a high-Q value
with a vacuum package is adopted. As such, a ring resonator having an asymme-
trical shape with high accuracy is required. To accomplish this, MEMS process
technology is to be followed. One of the technologies, viz. photolithography with
the capability of precise two-dimensional patterning and other technology DRIE
having the capability of anisotropic vertical etching were used. The frequency
difference between the two modes was finally controlled to less than 1 Hz with a
nominal resonant frequency of 14,000 Hz [13].
250
200
Res. freq. shift (Hz)
150
100
50
0
0 10.0 20.0 30.0 40.0
Time (h)
New Old
Figure 11.15 Stiffening effect on a several months old SiN cantilever before (old)
and after (new) BHF dip [17]. Reprinted with permission copyright
2002 SPIE
Reliability issues of MEMS resonators 403
The shock response is stronger in the case of thin cantilevers. The shock sensitivity
is a major stability issue of the resonant mode devices. By implying robust design
shock sensitivity decreases but this left with losing of measurement sensitivity as
well [17].
20
0
Res. freq. shift (Hz)
30 60 90 120
–20
–40
–60
–80
–100
Time (s)
gather airborne particles from the ambient air, like a flycatcher. It is understood that
proper cleaning of the chips is crucial before packaging because most of the con-
tamination on the resonating beam comes from the chip itself. The sticking gold/
photo-resist clusters remaining from the lift-off process could not be removed with
acetone. It comes off the surface during the vibration and sticks on the vibrating beam
as shown in Figure 11.17 left [17].
A gold-coated paddle-beam was driven in resonance in air. Fine dust was
introduced 20 h later in the measurement chamber. The resonance frequency
dropped abruptly as shown in Figure 11.18. The dust particles settle in the chamber
in approximately 10 h and then it is found that the resonance frequency reaches its
minimum at this time. Then the resonance frequency increases again indicating that
the beam releases slowly some of the dust particles. Subsequently, it happens again
only the resonating beam gathers the airborne dust particles and the rest of the chip
Figure 11.17 Si paddle-beam after a few hundred hours vibrating in the air (left),
gold-coated paddle-beam vibrating industry environment (right)
[17]. Reprinted with permission copyright 2002 SPIE
31,900
31,800
Res. frequency (Hz)
31,700
31,600
31,500
31,400
31,300
0.00 20.00 40.00 60.00 80.00 100.00
Time (h)
remains clean, as shown in Figure 11.17 right. These results point out that for
ambient applications to maintain stable resonance frequency the protective package
is required against dust and not necessarily the vacuum package [17].
Resonator wafer
Cap wafer
Bond pads
known that storage may not always be close to the recommended standard. This is
where the high-temperature storage life (HTSL) test comes into play, testing the
parameters of the device so that it can be determined what damage will result from
being stored in less-than-ideal conditions. The HTSL test in response to how many
businesses and consumers may put away an electronic device for a considerable
length of time in conditions that may include exposure to high temperature [18].
This type of test is used to screen, monitor, qualify, or evaluate all electronic
components or systems. The test itself is used to determine the overall effects of
temperature and the passage of time for devices that are stored. This means that the
device is tested to see how it reacts to being stored in high or low-temperature
environments along with the time it may spend in storage before being used. This
includes data retention failure mechanisms or non-volatile memory devices. This
test also indicates that you cannot buy the electronic components or systems and
store them for an infinite amount of time [18].
In the case of resonators, a batch of 64 resonators was stored under 150 10 C
up to 1,000 h based on JESD22-A103 high-temperature standard. It is to note that
Neither Q degradation nor frequency shift was observed. The yield of this particular
wafer is as high as 97.3% even after the HTSL test. As such this indicates that there is
no stress degradation within the resonator package.
Initially, five temperature-compensated MEMS oscillators were tested. After
the testing, oscillators were placed into an oven at 150 C for high-temperature
storage. Parts are taken out of the oven for a thermal cycle test. As shown in
Figure 11.20, the frequency deviation across the temperature did not change with time.
As we know that the packaged resonator passed the HTSL, this data indicates that the
resonator/oscillator package did not degrade with high-temperature storage [18].
10
8
Deviation from 125 MHz (ppm)
6 150 °C HTSL
2 Initial
62 h HTS, In Socket
0
254 h HTS, In Socket
–2 450 h HTS, In Socket
600 h HTS, In Socket
–4
756 h HTS, In Socket
–6
–8
–10
–20 –10 0 10 20 30 40 50 60 70 80
Temperature (°C)
11.11.3.1 Aging
An aging test, usually also called accelerated aging, is a testing method that uses a
higher level of heat, humidity, oxygen, sunlight, vibration, etc. to speed up the
normal aging process of items. In case of MEMS, aging under mechanical stress is
usually very important as compared to electrical failures viz. dielectric breakdown.
Mechanical parts are run at very high speed, far in excess of what they would
receive in normal usage. Aging is also one of the major concerns of overall MEMS
devices, as a mechanical device that vibrates tens of millions of cycles per second.
The requirement of aging based on timing and clock applications is generally 5
408 MEMS resonator filters
ppm the first year, which is equivalent to the resonator traveling 6,000 km a year
with 30 m of accuracy.
Instead of resonators alone, the aging testing was conducted with real tempera-
ture compensated oscillator products. In the test, the aging characteristic at 85 C,
which is the maximum operating temperature based on the specification. The oscil-
lators have þ1/4.5 ppm for the first year and þ1.2/5.2 ppm for 10 years. At room
temperature, on the other hand, the samples show þ1/2 ppm over 18 months [18].
(a)
Shock
Shock
Shock
Figure 11.21 (a) Packaged MEMS for g-shock evaluation [18]. Reprinted with
permission copyright 2006 IEEE. (b) Plot of stress due to a shock
force at 30,000 g at the x-axis [18]. Reprinted with permission
copyright 2006 IEEE. (c) Plot of stress due to a shock force at
30,000 g at the y-axis [18]. Reprinted with permission copyright
2006 IEEE. (d) Plot of stress due to a shock force at 30,000 g at the
z-axis [18]. Reprinted with permission copyright 2006 IEEE
410 MEMS resonator filters
10
Frequency variation [ppm]
0
40 100 40 100 40 100 40 100 40 100 40 100 40 100 40 100 40 100
–5
–10
Figure 11.22 Frequency stability during thermal cycling [18]. Reprinted with
permission copyright 2006 IEEE
Reliability issues of MEMS resonators 411
11.12 Summary
In this chapter, we discussed the determination of the reliability of systems and com-
ponents. The chapter presented a brief about the importance of reliability study con-
cerning various failures associated throughout the life cycle of a component. Failure
and its causes during the life cycle of the mechanical component are discussed with the
help of bathtub curve analysis. Reliability determination in a reasonable time can be
done with accelerated testing but the failure mode and its mechanism must be known in
advance. Various failure modes and mechanisms occurred during designing, func-
tioning, the packaging is discussed. Apart from the mathematical modeling of accel-
erated testing, it is important that the exact reason and nature of the failure is
ascertained. The instruments required for the determination of failure are also dis-
cussed. The electromechanical components have to sustain the electrical and
mechanical forces leading to additional modes of failures. The chapter highlights the
root cause analysis and various analytical failures analysis. The reliability issues rela-
ted to mechanical components and in particular to resonators are also discussed.
References
[1] W. van Spengen. ‘MEMS reliability from a failure mechanisms perspective’.
Microelectronics Reliability. 2003; 43(7): pp. 1049–60.
[2] Fuqua Norman B., Reliability Engineering for Electronics Design, New York:
Marcel Dekker, 1987.
[3] Paul A. Tobias, and David Trindade, Applied Reliability, 3rd Edition,
Chapman and Hall/CRC, Boca Raton, FL, 2011
[4] Hartzell A. L., Silva M. G. and Shea H. R., MEMS Reliability, New York:
Springer, 2011
[5] Document SAE J 1739: Potential Failure Mode and Effects Analysis in
Design (Design FMEA) and Potential Failure Mode and Effects Analysis in
Manufacturing and Assembly Processes (Process FMEA) Reference Manual,
SAE, 400 Commonwealth Drive, Warrendale, PA 15096-0001.
[6] J. Walraven. ‘Failure mechanisms in MEMS’. Proceedings International
Test Conference (ITC ’03). 2003. pp. 828–32
[7] L. P. Schanwald, J. R. Schwank, J. J. Sniegowsi, D. S. Walsh, N. F. Smith, K. A.
Peterson, et al. ‘Radiation effects on surface micromachined comb drives and
microengines’. IEEE Transactions on Nuclear Science 1998, 45(6), pp. 2789–98.
[8] ZYGO optical Metrology Instruments. Available at, ‘https://www.zygo.
com/?/met/’. Access on 10-10-2019.
[9] Gnauck P., and Hoffrogge P. ‘A new SEM/FIB crossbeam inspection tool
for high resolution materials and device characterization’. Proc of SPIE.
4980, Reliability, Testing, and Characterization of MEMS/MOEMS II.
Micromachining and Microfabrication, Jan 2003, San Jose, CA, United States.
2003. pp. 106–13
412 MEMS resonator filters
[10] Mowat I., Moskito J., Ward I, Kawayoshi H., Winter D., Strossmam G., et al.
‘Analytical methods for nanotechnology’. Technical Proceedings of the 2007
NSTI Nanotechnology Conference and Trade Show, Volume 4. May 2007.
[11] Available on, http://eesemi.com/ebic.htm. Access on 23 March 2019.
[12] Vu Le Huy, and Shoji Kamiya. ‘A Direct Evidence of Fatigue Damage
Growth Inside Silicon Mems Structures Obtained with Ebic Technique’.
Vietnam Journal of Mechanics, VAST. 2014; 36(2):109–18
[13] T. Tsuchiya, A. Inoue, J. Sakata, M. Hashimoto, A. Yokoyama, and
M. Sugimoto, ‘Fatigue test of single crystal silicon resonator’. Technical Digest
of the 16th Sensor Symposium, 1998; Japan. 277–80
[14] D. S. Gardner, H. P. Longworth, and P. A. Flinn, ‘Detection of reactions and
changes in thin-film morphology using stress measurements’. Journal of
Vacuum Science and Technology A. 1992; 10(4): pp. 1428–41
[15] United States Department of Defense. MIL - STD - 833F, Method 1014.11,
a United States Defense Standard, 2004.
[16] I. W. Rangelow, ‘Critical tasks in high aspect ratio silicon dry etching for
microelectromechanical systems’. Journal of Vacuum Science and Technology
A. 2003; 21(4): pp.1550–62
[17] Kazinczi R., Mollinger J. R., and Bossche A. ‘Environment-induced failure
modes of thin film resonators’. Journal of Micro/Nanolithography, MEMS,
and MOEMS. 2002; 1(1): 63–69
[18] Hsu W., ‘Reliability of Silicon Resonator Oscillators’. International
Frequency Control Symposium and Exposition. Miami, FL, USA, June 2006.
IEEE; 2007. pp. 389–92
Index
root cause and failure analysis 389 mass damper model and electrical
failure mode and effects equivalent model 26–7
analysis 390 finite element analysis (FEA) 20
RPN (Risk priority number) finite element method (FEM) 120–2,
levels 390–1 146, 158, 266
failure rate over the life of a product Laplace equation 165–8
374–6 Poisson’s equation, weak
fatigue failure 408 formulation of 161–4
fatigue in brittle and ductile postprocessing 165
materials 384 preprocessing 159
film bulk acoustic resonators (FBAR) Galerkin method 159
19, 111, 145 matrix assembly 161
applications 112 meshing 159–60
filter realization using BAW shape functions 160–1
113–14 variational method 159
FBAR-type BAW resonators 253–4 processing 164
resonators, characterisation of eigenvalue equation solvers 164–5
256–61 5G cellular technology 2
filters, design of 13 5-layer ultra-thin silicon stack 341–3
coupled resonator 49 flexural mode 100
coupling beam design 50–1 fluid domain 155–6
disk resonator-based filter (case fluorescent detection 347
study) 51–5 device component testing 359
ring resonator-based filter (case effect of stacking on
study) 55–60 photodetector sensitivity 359
disk resonator (case study) 30 experimental results 363–4
design and operation 31–2 platform testing 361–3
electrical model 38–9 hybrid integration
electromechanical model of 35–8 bonding of the glass fluidic chip
FEM simulation of 39–41 356–7
FEM simulation of disk resonator bonding plastic filters to silicon
with proposed fabrication flow photodetector 353–5
42–8 device stacking by epoxy
in-plane bulk mode resonance and bonding 352–3
modal shape estimation 32–5 individual components
history of filters 13 fabrication 348
electromechanical components fabrication of the glass fluidic
17–19 chip with microheater 351–2
MEMS resonator 20 photodetector fabrication 349–51
advancement (evolution) of selection of optical filter 351
22–5 “flycatcher” effect 403–5
RF MEMS filter 21–2 focused ion beam (FIB) 395–6
resonator, theory of 25 forward transmission coefficient 291
actuation 27–9 4G LTE network 2
detection 29–30 fracture 382
Index 417
The use of MEMS resonat ors for signal processing is relatively new and has the potential to
change the topology of newer generation circuits. New materials, design and fabrication
processes, and integrat ion with conventional circuitry will need to be considered.
This book explores the challenges and opportunities of developing circuits with MEMS
resonator filters. The replacement of classical electrical components with electromechanical
components is explored in this book, and the specific properties of MEMS resonators required
in various frequency ranges are discussed. Materials and their selection, CAD tools for system
design and the integration of MEMS w ith CMOS circuitry, and the design, fabrication, testing
and packaging of MEMS filters themselves are addressed in detail.
Case studies where resonator MEMS have been used as components have been included to
encourage readers to consider t he practical applications of this technology.
MEMS Resonator Filters is essential reading for the analogue circuit designer community,
particularly those who are designing circuits for wireless communications, and CMOS
technology researchers and engineers who are involved in the fabrication of circuits. Designers
of sensors and interfacing circuits will also be interested since resonators are also being used
as sensors.