Nothing Special   »   [go: up one dir, main page]

Academia.eduAcademia.edu

Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterning

2008, Proceedings of SPIE

Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterning Karen Dabertrand, Mathieu Touchet, Stéphanie Kremer, Catherine Chaton, Maxime Gatefait, Enrique Aparicio, Marco Polli, Jean-Claude Royer To cite this version: Karen Dabertrand, Mathieu Touchet, Stéphanie Kremer, Catherine Chaton, Maxime Gatefait, et al.. Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterning. SPIE Advanced Lithography, 2008, San Jose, United States. ฀10.1117/12.771614฀. ฀hal-00462558฀ HAL Id: hal-00462558 https://hal.science/hal-00462558 Submitted on 28 Sep 2022 HAL is a multi-disciplinary open access archive for the deposit and dissemination of scientific research documents, whether they are published or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers. L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés. Distributed under a Creative Commons Attribution 4.0 International License Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterning Karen Dabertrand*a, Mathieu Toucheta, Stephanie Kremerc, Catherine Chatonb, Maxime Gatefaita, Enrique Aparicioa, Marco Pollic, Jean-Claude Royerb a b STMicroelectronics, 850 rue Jean Monnet, F 38926 Crolles Cedex, France CEA-LETI-Minatec, 17 Rue des Martyrs, 38054 Grenoble Cedex 9, France c KLA-Tencor, 32 Chemin du Vieux Chêne, 38920 Meylan, France ABSTRACT CMOS 65nm technology node requires the introduction of advanced materials for critical patterning operations. The study is focused on the multilayer Anti Reflective Coating (ARC) stack, used in photolithography, for the gate patterning such as Advanced Patterning Film (APF). The interest on this new and complex ARC stack lies in the benefit to guarantee low CD dispersion thanks to a better reflectivity control and resist budget which leads to a larger lithographic process window. However, it implies numerous metrology challenges. The paper deals with the challenges of monitoring the gate Critical Dimension (CD) on this stack. The validation of the scatterometry model versus stack thicknesses and indexes variations, through experiments, is also described. The final result is the complete characterization of the materials for thickness and scatterometry CD control, for photo feedback and for etch feed-forward deployment in an industrial mode. The analysis shows that scatterometry measurements on a standard 65 nm gate process ensure a better effectiveness than the CD Scanning Electron Microscopy (SEM) ones when injected in the Advanced Process Control (APC) system from photo to etch. Keywords: Photolithography, APF, Process Control, Feed-Back, Feed-Forward, Metrology, Scatterometry, Optical CD. 1. INTRODUCTION To pattern small features and high aspect ratio structures at early device layers for 65nm technology and below, new anti-reflective hardmasks are deployed for the photolithography developments. These materials enable a tighter control of the Critical Dimension (CD) and better photoresists profiles. The paper deals with the Advanced Patterning Film (APF) stack adopted in the Crolles 300 mm for the 65 nm gate layer. The introduction of these stacks requires their characterization and monitoring. Their thicknesses and dispersions are qualified by ellipsometry techniques. The CD is controlled by scatterometry using the modelisation of the overall stack, and the results are compared with CDSEM. From this analysis, it is demonstrated that CD control using scatterometry technique can be injected with success in the APC loop. Moreover, a linear response of photo CD versus alpha carbon thickness is demonstrated and then, feed-forward concept could be implemented to reduce lot to lot CD dispersion. * karen.dabertrand@st.com; phone +33 438 923635; www.st.com 1 2. METHODOLOGY 2.1 CMOS 65 nm gate litho stack description The 65 nm CMOS polysilicon patterning in Crolles 300 mm has been focused on the anti-reflective hardmask process development. The role of the hardmask is to improve the pattern imaging in term of performance and stability, but also procure high selectivity stack to enhance etch budget. Currently, for the overall photolithography steps, the Bottom Anti-Reflective Coatings1,2 (BARCs) layers are employed and optimized with a 193 nm photoresist on top of standard dielectric hardmask3. For the 65 nm gate integration a new APF stack containing amorphous-carbon layer deposited by Plasma-enhanced Chemical Vapor Deposition (PECVD) technique is employed. The APF is composed of alpha carbon4, a layer of Dielectric AntiReflective Coating (DARC) oxide, covered by a thin amorphous layer (flash) (figure 1). Resist Amorphous layer DARC oxide Amorphous Carbon Polysilicon SiO2 Si substrate FIGURE 1: Gate lithographic stack description The presence of amorphous carbon enables to get multiple values of optical constant (n,k) as a function of PECVD process parameters which leads to different material properties. Moreover, this organic ARC is conformal with good step coverage and is easy to strip4. The APF study highlights many properties3: • It decreases bottom resist reflectivity compared to the BARC. This properties is correlated with hardmask thickness and also the optical dispersion (n,k). • The swing amplitude of the CD as a function of resist thickness is lower than with BARC. This leads also to improve the intra wafer CD uniformity, wafer to wafer and lot to lot. • The introduction of the APF stack provides a high etch resist budget. Thanks to these layers, the resist thickness deposited can be reduced compared to the one used for BARC. This decreasing improves also the optical performance and reduces the pattern collapse issue. • The organics/ inorganics stacks enable to transfer imaging layer by layer due to excellent etch selectivity. This selectivity is one of the strength of the stack. To summarize, the APF materials guarantee low CD dispersion, good reflectivity control and a high etch selectivity. 2 2.2 Experimental protocol The process window of the gate lithographic stack has been investigated using a Design of Experiment (DOE). This methodology is a reliable and fast way to test the scatterometry (OCD) robustness. The scatterometry technique is sensitive to the overall stack and thus must respond to the films variations. If the stack underneath is largely stressed, the OCD modelisation must be robust enough to answer to the full range of the films variations. To check the stability of scatterometry, the results are compared with SEM CD measurements. It enables also to evaluate if the response is realistic face to the DOE variation. The DOE is applied on the APF stack using different deposition parameters: • The pressure and the plasma power to deposit the alpha carbon • The pressure and the plasma power to deposit the DARC oxide • The pressure of chamber for the amorphous layer deposition The process variations enable to tune the thickness and the optical dispersion properties of each individual layers. The films properties are then characterized using spectroscopic ellipsometry. The CD is measured using scatterometry technique and SEM. A description of the SCD technique is given below. 2.3 Measurement Theory Scatterometry principle: The scatterometry is an optical metrology technique5, 6 used for the characterization of pattern design. These patterns are diffraction gratings with the width of the lines reproducing critical dimension of the device. The scatterometry measurement is performed on a KLA-Tencor Spectra FX100 tool. The system uses a broadband light source to cover a wide wavelength spectrum, from 250 nm up to 750 nm. The beam source is polarized on specific gratings (figure 2). These CD targets are designed to represent the in-die product in terms of CD and shape for the layers processed. Once the beam light has interacted with the sample, the diffracted light is recorded on a detector on the overall wavelength range, giving the SE signal. The detector array then transforms the diffracted light into a spectrum specific to the materials and grating. Spectroscopic Broadband Ellipsonietry hght source Prism 11111 1 11 1 I IIE E : Rotatng Polarizer Detector Array Target FIGURE 2: Schematic of Spectroscopic Ellipsometry principle. 3 I For OCD measurements performed with KLA-Tencor, a model or library is required. This library contains theoretical spectra that take into account the materials in presence, films thicknesses, CD width and space, sidewall angle and height variations. Once generated, the library is imported on the tool, and during measurements the OCD algorithm calculation runs to determine the best match between the theoretical and the measured spectrum (figure 3). The validation protocol included SEM measurements and also the DOE analysis in order to check the physical response of the SCD model. h7.:..-I[ :.:.fl _ _+ Q11 0 Production batches - Measure Orating Profile/CD measurement FIGURE 3 : Schematic of Library method used for “in-line” Scatterometry measurement. Library development: The first step before library generation is the characterization of the antireflective films, in term of thickness and dispersion (n,k). It means that films dispersions must be monitored and fixed before their introduction in the SCD model. The methodology employed to ensure the reliability of the dispersion is the use of the DOE where the films thickness and dispersion vary with the process window. Once these dispersions characterized, the optical dispersion of each layers are fixed and included in the scatterometry library. The following step is the characterization of the CD grating i.e its size and shape. To generate the model, a simple trapezoid is used to describe the resist lines on the three underneath layers (figure 4). 1: CD Resist 2 : Resist Height 1 3 : Amorphous Si thick 2 4 : DARC oxide thick 3 4 5 5 : Alpha C thick 6 6 : Poly thick fixed 7 7 : Gate oxide fixed FIGURE 4: Schematic representation of library generation. 4 During the SCD library generation, height and CD of the resist is measured as well as the thicknesses of the antireflective layers. As our protocol is to implement the simplest model with a reasonable number of floating parameters, the sidewall angle of the resist grating has been fixed at 89 degrees for this application. By fixing the sidewall angle, cross correlation effect between the parameters is controlled and thus the robustness of the library is improved. This choice is also validated thanks to complementary measurements on a Focus Exposure Matrix wafers. Furthermore, on dense targets, there is less sensitivity of the sidewall angle on focus variation. Finally, the criteria used to validate the model required the analysis on the physical meanings of the scatterometry outputs. 3. RESULTS AND ANALYSIS 3.1 Thickness results For the study, the DOE variation is applied on five process parameters which vary under 10 % of the amplitude of the nominal value. This range of variation is chosen in order to be representative of the natural process variability and more. It is also the way used to validate the measurement reliability and robustness overall the process window. The calibration curves relative to the process variation of the APF layers have been defined on every parameter of the DOE. However, the study has been focused on amorphous carbon (a-C). Thus, layer is plotted as a function of the HRF power* (figure 5). In parallel, the Refractive Index (RI) is also plotted as a function of HFR power (figure 6). Following these two parameters, a linear variation of the a-C thickness is observed as a function of the plasma power. The optical index is also varying linearly with HRF parameter. More precisely, it decreases from 1.505 down to 1.495. This low variation of the RI versus the HRF power means that, within the process window, the a-C thickness is varying on a significant way. Anyway, in term of optical index, the change occurs at the third digit and so, its variation is not relevant for the OCD application. From this analysis it can be concluded that it is possible to successfully monitor the aC thickness without any change of its optical properties in that range of process variations. From this thickness study, it has been observed small variation on the optical index of the APF stack. For SCD application, the dispersion used for the a-C is reliable and can be introduced in the library as fixed. * For process confidentiality reasons, every reported values on the APF process has been normalized. 5 Normalized amorphous Carbon thickness 1.2 1.15 1.1 1.05 1 0.95 y = 1.6029x - 0.5871 R2 = 0.9298 0.9 0.85 0.8 0.9 0.95 1 1.05 1.1 Normalized HRF of amorphous carbon FIGURE 5: Amorphous Carbon thickness versus plasma power 1.51 N(a-C) 1.505 1.5 1.495 1.49 y = -0.0924x + 1.591 1.485 R = 0.7724 2 1.48 0.92 0.96 1 1.04 1.08 Normalized Amorphous carbon HRF FIGURE 6: Amorphous Carbon RI versus plasma power The DOE analysis demonstrates that the most sensitive parameter of the complete APF stack is the HRF power used for the amorphous carbon film deposition. Based on multivariable analysis, it has been observed that pressure of alpha carbon chamber is the second contributor. The APF materials properties appear to be less sensitive to the power and to the pressure variations of the two other layers. Finally, it is demonstrated that thickness measurements are sensitive enough to the DOE variation and thus can monitor and control the APF deposition process. 3.2. CD photo results In this part, the sensitivity and robustness of the library for scatterometry measurements is controlled. In SCD, the main challenge is the physical representativeness of the model. The use of a DOE enables to stress the library and to evaluate the possible cross correlation between the SCD parameters, in order to reveal the non physical OCD outputs. Thus, to validate the model, correlation between CD SEM and results from OCD are performed. The results are represented per wafer (figure 7). The a-C thickness is also plotted for comparison. 6 Middle CD SCD SEM CD a-C thickness 1.3 92 1.2 90 1.1 88 1 86 0.9 84 Normalized a-C thickness CD (nm) 94 0.8 0 2 4 6 8 10 12 14 16 18 20 22 24 Wafer slot # FIGURE 7: CD and alpha C thickness for each wafer From figure 7, same trends are observed between OCD and SEM. The analysis demonstrates an average measurement offset around 3.8 nm between both techniques. This difference corresponds to SEM CD which measures the bottom CD whereas middle CD value is extracted from OCD. Moreover, the SEM measurement on resist tends to overshoot the CD. The additional a-C curve plotted shows a specific signature of the a-C film which is relayed on the CD. The monitoring of the a-C thickness, as floating parameters, is thus required as the two CD measurements techniques highlight a real physical variation. Indeed, the two CD techniques reveal a real correlation between the printed CD and the alpha carbon thickness. It could imply that wafer to wafer CD dispersion could be reduced by monitoring and controlling the a-C deposition through OCD measurements. It has been demonstrated that a-C thickness linearly depends on HRF power. In parallel, the a-C thickness and the CD measurement are directly correlated. The CD monitoring can thus be extrapolated to HRF control by implementing a feed-back loop procedure. The analysis demonstrates the possibility to improve the APF process thanks to scatterometry technique. Finally, the gate photolithography SCD library is validated thanks to a Focus Exposure Matrix (FEM). The FEM enables to get a large CD range and thus facilitate the correlation with SEM measurements (figure 8). 110 105 MCD SCD (nm) best focus throughdose y = 0.9384x - 1.4998 R² = 0.9849 100 95 90 85 y = 1.0527x - 12.69 80 R = 0.9822 bestfocus + 0.14 µm throughdose 2 75 y = 0.9711x - 4.1969 70 bestfocus 0.14 µm throughdose 2 R = 0.98 65 60 70 75 80 85 90 95 100 105 110 115 CD SEM (nm) FIGURE 8 : Middle CD from SCD as a function of SEM for gate photolithography level based on a FEM 7 On figure 8 is plotted the middle CD results from SCD as a function of SEM CD measurements for different dose and three different focus. Every focuses are not represented here but for each one, the same results are obtained. The results indicate a good correlation between SEM and SCD as the slope is close to 1 and the confidence factor at 0.98. The complete procedure for the 65 nm gate validation required the combination of the DOE and the FEM to cover the overall process window of gate photo patterning. Thanks to that analysis, the OCD library generated for gate lithography measurements has been implemented in production. Moreover, the measurement capability (Cpm) has been calculated around 24 on this level and the 3σ around 0.2 nm, using OCD equipment (figure 9). CpM 24.6 Delta specification S 10 FXIOO tool Reprod I I 1211111 - Tool 'iieast''e'iie'it error Re1,rod 2 — Re1n od 3 * C 0 I E - _ - - —/ Reproducibility 82 83 82.5 83.5 FIGURE 9: Reproducibility and representation of Cpm results for gate photo level 3.3. CD etch results 57 56 56 55 55 SCD (nm) CD (nm) To develop the OCD library after etch the same procedure has been applied. The OCD results for the DOE are given on figure 10. The CD values have been plotted as a function of wafers slots after SEM and OCD measurements on the same grating targets. The two techniques highlight the exact same trend which leads to conclude that the OCD library is sensitive to CD variation. However, as after lithography, an average offset of 1 nm is evaluated between both techniques. 54 53 Middle CD SCD SEM CD 52 54 53 y = 0.93x + 3.30 2 R = 0.95 52 51 51 0 (a) Middle CD SCD 2 4 6 8 10 12 14 16 18 20 22 24 Wafer slot # 52 (b) 53 54 55 SEM (nm) FIGURE 10: CD comparison between SCD and SEM after gate etch process. 8 56 57 58 As for photolithography, the library generated is implemented in production. Thanks to this introduction, the Cpm value has been improved from 10 using SEM CD technique, to 45 with scatterometry (figure 11). FXIOO Measurement Error for Value CpM 44.9 Measurement Ernst peprodi peprod2 peprod3 E C 0 C 0 E 0 C 0 0 0 4- t- 0 a C, 64.1 Reproducibility 64.3 64.2 64.4 64.5 64.6 64.7 FIGURE 11: Reproducibility and representation of Cpm results for gate etch level 3.4. CD bias Once the SCD library generated, the stability of the two processes can be evaluated. On figure 12 are plotted the CD uniformity after lithography and after etch process. The CD signatures on the wafer are very close to each other after the two processes. The CD variation on a wafer is around 1 nm in order of magnitude. The CD intra-wafer uniformity is stable after etch and scatterometry measurement is sensitive and predictive enough to describe it. MCD after photo (nm) 90 67 89.5 66.5 89 66 88.5 65.5 after photo 88 after etch 87.5 1 3 5 7 MCD after etch (nm) 67.5 90.5 65 9 11 13 15 17 Sites # on a wafer FIGURE 12: CD mapping comparison on a wafer after photo and after etch steps. Identical analyses is performed on the overall DOE, by plotting the CD mean value of every wafer after photolithography and after etch process steps (figure 13). The CD bias on the mean CD values shows the same tendency 9 SCD after photo 89 69 SCD after etch 88.5 68 88 67 87.5 66 87 86.5 65 86 64 85.5 85 63 0 3 6 9 12 15 18 21 MCD mean after etch (nm) MCD mean after photo (nm) after both processes. It leads also to conclude that APF process window is stable to get this low intra-wafer CD variation. It also indicates that OCD is sensitive and reliable in that range of process window. 24 Wafers ID FIGURE 13: Mean CD values as a function of wafers after photo and after etch. The direct correlation between photolithography and etch enables to integrate the feed forward (FF) run to run loop to improve process performances. The analysis of this implementation is given below. 3.5. Advanced process control Feed-forward run to run results: The goal of the feed-forward is to ensure a reliable process because the devices are drastically shrunk in size and tolerance. It generates an aggressive in-line process control to guarantee the product performance and stability. The main requirements concern the Ion of the NMOS where the capability of the product must be higher than 1.67. The feed forward run to run procedure is a regulation loop. The run to run enables to compensate the variations of a given process by adjusting the recipe of the following process. For the 65 nm technology, it consists to adapt the etch gate recipe, if the lithography process is drifting. This procedure thus improves the CD stability and control (figure 14). R2R Controler Batch, Lot to or Lot Wafer A Process 1 Process N Measurement data for Lot to Lot Metrology 1 Process Setting(s) for Lot to Lot Process 2 Process N+1 FIGURE 14: Feed forward representation 10 The implementation of the feed forward is applied using scatterometry because the noise measurement is very low compared to SEM CD. For instance, the FF has been evaluated between OCD versus CDSEM on 32 production lots. Its impact is demonstrated using the Cp and Cpk centering (process capability) results with and without feed forward (Table 1). These values are representative of the process quality and stability. The use of FF loop has led to an improvement of 29.8% and 46.4% respectively on Cp and Cpk are obtained using FF and scatterometry measurements. SCD data CDSEM data Without FF With FF Without FF With FF Cp 1.62 2.28 1.85 1.92 Cpk 1.4 2.13 1.56 1.57 TABLE 1: Fit forward effect on Cp and Cpk for SCD and SEM measurements. The introduction of the feed-forward close loop enables to enhance the etch process capability using SCD technique. Since the implementation of the run to run loop the CD uniformity is improved, and Cp and Cpk are calculated respectively at 2.79 and 2.61 which enable a process capability improvement higher than 40%. CONCLUSION The 65 nm gate patterning technology is currently monitored in production using scatterometry measurements. The validation and implementation of the scatterometry model has required the combination of a DOE and FEM analyses. All the results have been correlated with thickness and SEM CD results to ensure the physical response and the sensitivity of the OCD library. This validation protocol is now adopted in Crolles 300 mm. This method improves the OCD models robustness thanks to two validations. First, the OCD sensitivity to the APF stack and CD variations is checked. Secondly, the analyses of the DOE outputs demonstrate that the modelisation error is acceptable. The study highlights the possibility to set up a feedback of the HFR power of the a-C material thanks to scatterometry. The strategy could be to compensate the APF deposition variation by adjusting the dose in photolithography. The feed-forward loop has been introduced successfully in production and lead to process capability and measurement capability improvement respectively of 46.4% and 40%. BIBLIOGRAPHY 1. G. Arthur, B. Martin, C. Wallace – “Optimisation of dielectric anti-reflective coatings on a transparent substrate in sub-half-micron CMOS technology” - Proceeding of SPIE – Volume 4344 – Metrology, Inspection and Process Control for microlithography XV (2001) 2. V. Farys, S. Warrick, C. Chaton, J.D Chapon – “ARC Stack Development for Hyper NA imaging” -Proceeding SPIE - volume 6520 (2007) 3. J.D Chapon, C. Chaton, P. Gouraud, M. Broekaart, S. Warrick, I. Guilmeau, Y. Trouiller, J. Belledent – “Comparison between organic Spin-on Barc and Carbon containing CVD stack for 65nm gate patterning” – Proceeding SPIE – Volume 5753 - Advances in Resist Technology and Processing XXII (2005) 11 4. Y. Kim, J. Lee, H. Cho, J. Moon – “Carbon Anti-Reflective Coating (ARC) technology for KrF and ArF Lithography”- Proceeding of SPIE – Volume 4346 - Optical Microlithography XIV (2001) 5. J.R. Mc neill, S.S.H. Naqvi, S.M Gaspar, K.C Hickman, K.P Bishop, L.M Milner, R.H. Krukar, G.A. Petersen – “Scatterometry applied to microelectronics processing – Part 2”- Solid State Technology (1993). 6. P.C. Logofatu, D. Apostol; V. Damian, V. Nascov; F. Garoi, A. Timcu, L. Iordache. – “Scatterometry, an optical metrology technique for lithography” - Semiconductor Conference, 2004. CAS 2004 Proceedings. 2004 InternationalVolume 2, Issue , 4-6 Oct. (2004) 12