Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/882452.874410acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

Accurate Area and Delay Estimators for FPGAs

Published: 04 March 2002 Publication History

Abstract

We present an area and delay estimator in the context of a compilerthat takes in high level signal and image processing applicationsdescribed in MATLAB and performs automatic design spaceexploration to synthesize hardware for a Field Programmable GateArray (FPGA) which meets the user area and frequency specifications.We present an area estimator which is used to estimatethe maximum number of Configurable Logic Blocks (CLBs) consumedby the hardware synthesized for the Xilinx XC4010 fromthe input MATLAB algorithm. We also present a delay estimatorwhich finds out the delay in the logic elements in the criticalpath and the delay in the interconnects. The total number of CLBspredicted by us is within 16% of the actual CLB consumption andthe synthesized frequency estimated by us is within an error of13% of the actual frequency after synthesis through Synplify logicsynthesis tools and after placement and routing through the XACTtools from Xilinx. Since the estimators proposed by us are fastand accurate enough, they can be used in a high level synthesisframework like ours to perform rapid design space exploration.

Cited By

View all
  • (2016)Analytical Model for High-Level Area Estimation of FPGA DesignInternational Journal of Embedded and Real-Time Communication Systems10.4018/IJERTCS.20160701037:2(35-44)Online publication date: 1-Jul-2016
  • (2016)Core-level modeling and frequency prediction for DSP applications on FPGAsInternational Journal of Reconfigurable Computing10.1155/2015/7846722015(7-7)Online publication date: 1-Jan-2016
  • (2016)Automatic generation of efficient accelerators for reconfigurable hardwareACM SIGARCH Computer Architecture News10.1145/3007787.300115044:3(115-127)Online publication date: 18-Jun-2016
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '02: Proceedings of the conference on Design, automation and test in Europe
March 2002
1072 pages
ISBN:0769514715

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 04 March 2002

Check for updates

Qualifiers

  • Article

Conference

DATE02
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Analytical Model for High-Level Area Estimation of FPGA DesignInternational Journal of Embedded and Real-Time Communication Systems10.4018/IJERTCS.20160701037:2(35-44)Online publication date: 1-Jul-2016
  • (2016)Core-level modeling and frequency prediction for DSP applications on FPGAsInternational Journal of Reconfigurable Computing10.1155/2015/7846722015(7-7)Online publication date: 1-Jan-2016
  • (2016)Automatic generation of efficient accelerators for reconfigurable hardwareACM SIGARCH Computer Architecture News10.1145/3007787.300115044:3(115-127)Online publication date: 18-Jun-2016
  • (2016)Library-Based Placement and Routing in FPGAs with Support of Partial ReconfigurationACM Transactions on Design Automation of Electronic Systems10.1145/290129521:4(1-26)Online publication date: 18-May-2016
  • (2016)Automatic generation of efficient accelerators for reconfigurable hardwareProceedings of the 43rd International Symposium on Computer Architecture10.1109/ISCA.2016.20(115-127)Online publication date: 18-Jun-2016
  • (2014)Rapid evaluation of custom instruction selection approaches with FPGA estimationACM Transactions on Embedded Computing Systems10.1145/256001413:4(1-29)Online publication date: 10-Mar-2014
  • (2013)QuipuACM Transactions on Reconfigurable Technology and Systems10.1145/2457443.24574466:1(1-25)Online publication date: 1-May-2013
  • (2009)A framework for core-level modeling and design of reconfigurable computing algorithmsProceedings of the Third International Workshop on High-Performance Reconfigurable Computing Technology and Applications10.1145/1646461.1646465(29-38)Online publication date: 15-Nov-2009
  • (2009)Area-Time Estimation of Controller for Porting C-Based Functions onto FPGAProceedings of the 2009 IEEE/IFIP International Symposium on Rapid System Prototyping10.1109/RSP.2009.15(145-151)Online publication date: 23-Jun-2009
  • (2006)Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architecturesACM SIGPLAN Notices10.1145/1159974.113467741:7(182-188)Online publication date: 14-Jun-2006
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media